1.建工程文件存放路径。先在某个盘下新建一个存放工程的文件夹,名字最好用英文,不要出现空格。

2.新建工程。打开Quartus II软件(我用的是9.1版本),File——>New Project Wizard...,直接Next后填写自己工程要存放的路径,我这里存放在 D:\FPGA\work 目录下,工程名自己起一个,第三个空尽量别改动(填写完第二个空,第三个空会同步),下一步。

选择自己要设计的可用设备。这里就可以点Finish完成了,因为后面Next下去也不用改动。到这里工程就建完了。

3.新建原理图文件。File——>New弹出如下窗口,选择Block Diagram/Schematic File新建框图或原理图文件。

4.原理图的另存和绘制。建完原理图文件后File——>Save as,在弹出的窗口中新建一个文件夹用来存放原理图文件和后面的矢量波形文件,因为在仿真的过程中会生成大量文件,咱们建一个文件夹把自己的文件存放在里面。(我在work文件夹下新建了myfile的文件用来存放原理图文件和矢量波形文件)

画原理图时直接双击空白处,弹出如下窗口,在Name处输入自己想要添加的器件名称,OK后就可以拖放了,如果需要多个相同的器件,可以按住Ctrl键,选中已添加到原理图中的器件并按住鼠标左键,将器件拖放到自己想要的位置后松开鼠标左键即可。

5.编译原理图。画完原理图后保存就可以进行编译了,我这里以两个74138译码器组合拼接4-16译码器为例,点击工具栏中的Start Compilation按钮,即下图中圈住的那个按钮。

编译成功!警告可以不用管,只要没错误就行。

6.新建矢量波形文件。File——>New弹出如下窗口,选择vector waveform file新建矢量波形文件,同样的File——>Save as,和原理图文件存放在同一文件夹下。

7.选择Node。在下图空白处双击,弹出如下窗口,点击Node Finder...按钮弹出Node Finder窗口。

在选择时尤其注意将Filter选择为Pins:all,否则可能找不到节点,点击List按钮,从左侧选中自己想要的Nodes后添加到右侧,一般情况都选,按>>按钮即可全选Nodes至右侧。

8.仿真。按要求设置自己的输入电平高低,按Start Simulation按钮弹出如下提示框。

原来是没有添加仿真输入文件,按照下图序号依次点击选择文件即可。

再次按Start Simulation按钮,仿真波形就出来了。↓↓↓

一般情况下的仿真都是1us,如果想要延长时间,可以在Edit——>End Time这里设置。

以上就是用Quartus II软件从建工程、绘图、编译到仿真的全部过程了。

附上如下原理图和仿真波形:

M=12的计数器原理图:

仿真波形:

M=20的计数器原理图:

仿真波形:

Quartus II从建工程、绘图、编译到仿真详细过程相关推荐

  1. EDA原理及应用 Quartus II 13建工程 个人笔记

    win7 64位 先上结果 20200511完善Modelsim -Altera不能使用的问题.(20到27) 20200514如何使用.bdf(原理图)文件(28到32) 双击桌面打开 菜单栏Fil ...

  2. Quartus II FPGA指定工程文件路径

    实验环境(蓝色粗体字为特别注意内容) 1,环境:Windows 7 Ultimate 32 bit.QuartusII 13.0.1 win32.ModelSim SE 10.1a win32 2,参 ...

  3. Quartus II建立新工程流程,Quartus如何建立工程?

    在用Quartus (Quartus Prime 18.0) Standard Edition开发一个项目时,首先要建立一个工程文件,这个工程文件包含了项目设计过程中生成的所有文件.创建的步骤大致如下 ...

  4. Quartus ii与Modelsim-altera 6.5b联调前仿真

    本实例使用的是Quartus ii9.1版本,Modelsim-altera版本是  Quartus ii9.1版本  对应的6.5b版本. 本实例使用的是格雷码计数器(Gray) ========= ...

  5. Quartus II 18.0 创建 dcfifo IP 核仿真出现问题 Port 'eccstatus' not found 记录和解决方案

    Quartus II 版本如下图所示:18.00 Build 614 04/24/2018 SJ Standard Edition 在工程中例化了一个异步 FIFO 配置信息如下: 仿真时 Model ...

  6. EDA(Quartus II)——正弦信号发生器的设计

    目录 实验目的: 实验预习: 实验原理: 定制LPM_ROM模块流程: 1.定制初始化数据文件,建立.mif格式文件 2.定制LPM_ROM元件 3.对生成的文件建工程,编译.仿真,生成rom_1元件 ...

  7. Quartus II三种方式实现D触发器及时序仿真

    Quartus II三种方式实现D触发器及时序仿真 一.准备工作 (一)软件下载 (二)D触发器原理 1.简介 2.D触发器的基本结构与信号输入输出关系 3.状态转移真值表以及状态转移图 二.实验要求 ...

  8. Quartus II 9.0编译时出现Error: Current license file does not support the EP2C35F484C8 device的问题

    问题: 打开Quartus II 9.0 但在编译时出现 Error: Current license file does not support the EP2C35F484C8 device Er ...

  9. Vivado和Quartus ii 中工程存档(Archive project)及打开

    下面介绍下在Quartus ii 中进行工程存档(Archive project)以及打开存档工程的操作方法,当需要把工程发给其它电脑时,用工程存档比较方便,空间占用小,而且不会出现文件丢失的情况.我 ...

最新文章

  1. Python 循环拼接字符串_详解Python拼接字符串的七种方式
  2. J2SE的30个基本概念
  3. Vant 1.6.11 发布,有赞轻量级移动端 Vue 组件库
  4. JZOJ 5263. 【NOIP2017模拟8.12A组】分手是祝愿
  5. 计算机主机中网卡的作用,计算机硬件组成及作用
  6. rfid技术及应用答案王佳斌_RFID技术智能仓储管理系统应用优势
  7. 基于ViSual Studio 2013 + MYSQL9_5302 + Navicat for MySQL9_5302的ATM自动存取款系统
  8. 华为Python面试题
  9. 【深度优先搜索】计蒜客:正方形
  10. hibernate数据库扫描实体类的配置
  11. Lua 笔记--表达式
  12. scratch 控制、侦测、数据和数字逻辑模块  教案
  13. SPLUS数据库导入导出操作
  14. 视频教程-程序员的数学:线性代数-Python
  15. 有趣的黑客网站 | 伪装成黑客高手,像电影黑客一样打字如飞
  16. Unity3D 物体移动到指定点
  17. 比赛记录——记录一次失败的比赛,九坤量化验证码识别大赛
  18. 计算机教育软件排名,电脑教学软件
  19. 低代码助力生产管理:车间管理系统
  20. python中文朗读_用python实现的文字朗读

热门文章

  1. 搭建Prometheus配置企业微信告警
  2. CSS中position属性面试题目超详细讲解
  3. Java调用WebService接口之利用hutool工具包实现
  4. 工业智能网关BL110应用之58:如何实现智能楼宇控制BACnet 接入OPC UA云平台
  5. 跟我学Kafka之Controller控制器详解(一)
  6. 上海落户计算机水平毕业研究生,2020年上海落户有哪些新规定?附研究生落户分值表!...
  7. flutter 打开后闪退_flutter apk启动闪退问题
  8. FPGA并行加法树设计
  9. 浏览器插件-离线英汉词典 2018-10-12
  10. 超详细Windows10 Tomcat 9安装与配置