vcs 通過读取filelist.list 對 bench 進行 compile,那filelist.list有哪些需要注意的呢?(以package為例)


1. 先寫top_define的絕對路徑,它主要包括env要用的define和macro;

2. 加入uvm library (路徑僅示範)

+incdir+/proj/UVM/uvm/latest/src/

+incdir+/proj/UVM/uvm/latest/src/dpi/

3. 加入design 相關的庫文件的路徑;

4. 加入rtl 的路徑;

5. 加入assertion 和bind 文件;

6. 加入ENV的文件路徑;

+incdir+/proj/uvm_xxx_top/sim/uvm/agents/a_agent

/proj/uvm_xxx_top/sim/uvm/agents/a_agent/a_pkg.sv

+incdir+/proj/uvm_xxx_top/sim/uvm/env

/proj/uvm_xxx_top/sim/uvm/env/glb_cfg_pkg.sv

+incdir+/proj/uvm_xxx_top/sim/uvm/ral_mdl

/proj/uvm_xxx_top/sim/uvm/ral_mdl/ral_mdl_pkg.sv

+incdir+/proj/uvm_xxx_top/sim/uvm/vseq

/proj/uvm_xxx_top/sim/uvm/vseq/vseq_pkg.sv

+incdir+/proj/uvm_xxx_top/sim/uvm/env

/proj/uvm_xxx_top/sim/uvm/env/env_pkg.sv

+incdir+/proj/uvm_xxx_top/sim/uvm/testcase

/proj/uvm_xxx_top/sim/uvm/env/testcase_pkg.sv

+incdir+/proj/uvm_xxx_top/sim/uvm/top_module

/proj/uvm_xxx_top/sim/uvm/env/top.sv


其中top.sv 如何寫呢?

import uvm_pkg::*;
import ral_mdl_pkg::*;
import testcase_pkg::*;
module top;....
endmodule

agent pkg 實例:

`include "aa_if.sv"package aa_pkg;import uvm_pkg::*;`include "uvm_macros.svh"`include "aa_trans.sv"`include "aa_sequencer.sv" `include "aa_driver.sv"`include "aa_monitor.sv" `include "aa_agent.sv"`include "aa_seq.sv"endpackage

其中include 順序要按照從分到總的順序,例如先寫driver,monitor再寫agent;


+incdir+directory+
Specifies the directory or directories that VCS searches for include files used in the `include compiler directive. More than one
directory may be specified when separated by the plus (+)character.

即指定文件夾,讓tool按照指定文件夾找到include的文件進行編譯。

比如文件夾dir_a中包含文件夾dir_b,dir_b中有file_c,如何写可让tool 找到file_c呢?

法一:

+incdir+dir_a

`include "dir_b/file_c"

法二:

+incdir+dir_a/dir_b

`include "file_c"

uvm_pkg.sv 內容如下:

`ifndef UVM_PKG_SV
`define UVM_PKG_SV`include "uvm_macro.svh"package uvm_pkg;`include "dpi/uvm_dpi.svh"`include "base/uvm_base.svh"`include "tlm1/uvm_tlm.svh"`include "comps/uvm_comps.svh"`include "seq/uvm_seq.svh"`include "tlm2/uvm_tlm2.svh"`include "reg/uvm_reg_model.svh"
endpackage`endif

[VCS]filelist.list的做法相关推荐

  1. linux VCS+verdi运行UVM实战(第二章)中的例子

    目录 前言 介绍 建立工程 运行代码 查看波形 总结 前言 用VCS+verdi运行了下UVM实战中的例子(第二章). 介绍 在某宝上花了几十块,买了个虚拟机(已经安装好VCS+verdi).直接用U ...

  2. VCS 仿真filelist文件生成

    为了方便VCS仿真,需要生成一个filelist.f的文件 使用find命令可快速生成filelist.f find -name "*.v" >filelist.f 生成后的 ...

  3. vcs后仿实现的总结

    一,后仿平台的搭建 1,准备工作,这块主要包含一下几个的内容需要我们事先准备好: ①,后仿网表,后端提供 后仿的网表指的是,在仿真时,我们不再使用最开始的rtl代码来进行仿真,而是使用将rtl转化为真 ...

  4. [VCS]Coverage Options Introduction

    Coverage Options Introduction 摘要:VCS仿真中,可以产生以下两类coverage:code coverage和function coverage,对于code cove ...

  5. VCS自带的UPF低功耗仿真demo介绍

    文章目录 前言 1.demo所在的位置 2.demo的介绍 3.启动demo仿真 4.利用verdi来分析UPF文件 总结 前言 VCS工具安装路径下,自带了一个UPF低功耗仿真的演示用例,本文主要介 ...

  6. vcs+verdi版本 七夕小心心

    1.简述 这个是根据 FPGA开源工作室 分享的工程修改而来的,原版是modelsim的,有兴趣的朋友可以去公众号下载.最近刚入职,作为ICer必须得用vcs+verdi,所以在七夕那天加完班回家继续 ...

  7. VCS+Verdi脚本化仿真Vivado工程流程

    前言 前面的章节对VCS+Verdi与Vivado的联合仿真,从软件安装.VCS编译vivado仿真库以及直接通过Vivado界面export出VCS仿真文件夹,可直接执行仿真流程. 本文介绍使用另一 ...

  8. Ubuntu虚拟机中VCS安装和启动过程中遇到的问题总结

    上篇文件写完后,很多人留言说VCS启动有些问题在文中没有解决,这里总结汇总了下从VCS安装好以后,临时license授权到使用VCS成功运行并用verdi dump波形这个过程中可能会遇到的一些问题及 ...

  9. VCS+Verdi ubuntu18.04安装教程

    本文参考ubuntu VCS+verdi安装教程和VCS.Verdi安装记录,感谢两位博主. 1.下载文件 verdi_vL-2016.06-1 vcs-mx_vL-2016.06 scl_v11.9 ...

最新文章

  1. CF 1093 E. Intersection of Permutations
  2. 删除数据 DataIntegrityViolationException: not-null property references a null or transient value解决...
  3. 如何adb shell进入ctia模式
  4. c语言readdir函数功能,C语言readdir()函数:读取目录函数
  5. [转]内存泄漏简单检测
  6. 活动平台为何没有x86?_一个由跨平台产生的浮点数bug | 有你意想不到的结果
  7. 29 Python - 字符与编码
  8. IIS 启动不了(服务没有及时响应启动或控制请求)解决
  9. Mysql导入excel数据,解决某些特殊字符乱码问题
  10. 【会议】2009-11-13
  11. 一篇彻底搞懂jsp内置对象
  12. 开发extjs常用的插件
  13. c语言,10行代码写一个简单鼠标连点器
  14. 视频+案例 | 钟南山院士谈5G医疗
  15. 【历史上的今天】7 月 5 日:Google 之母出生;同一天诞生的两位图灵奖先驱
  16. Unity经典案例再现《太空大战》
  17. 图鸟小程序+前后端开源项目
  18. applecare多少钱?_AppleCare和AppleCare +有什么区别?
  19. 回应:“MJ广东开校之我见”
  20. win2003 启用了Internet Explorer增强的安全配置

热门文章

  1. Android ART 即时 (JIT) 编译器详解
  2. win 10 hosts 文件位置
  3. 如何比较好的规避拼多多纠纷退款的技巧?天创速盈来说
  4. 半双工通信java_Socket实现一个简单的半双工通信
  5. 书法练习轨迹–明月几时有-简洁版
  6. orin+96712接GMSL2相机调试经验
  7. WIN10 家庭版 安装DOCKER 遇到问题:THIS COMPUTER DOESN'T HAVE VT-X/AMD-V ENABLED
  8. python实现图片暗藏表白效果
  9. 情人节程序员用HTML网页表白【情人相册模板】 HTML5七夕情人节表白网页源码 HTML+CSS+JavaScript
  10. babel的使用(关于使用async报错的问题)