Q:在状态机的case语句中,最后要加上默认项default,可是我看到有的书上写的是一个确定的状态,有的则是不定态xxx,到底应该写那个啊?求助!

A1:取决于case条件是否完备啦

如果你的case条件已经完备,那default不写也无所谓呀,一定要写的话,随便赋值都可以

如果case条件不完备,default肯定不能写xxx,应该给一个确定的值。

A2:仿真时写XXX,便于发现错误!综合时写复位态,便于软件综合!

注:写case的时候 千万要写 default,即使你条件写满了 也要写default,避免产生latch

coding rule 是很死的东西,要遵守,就像遵守法律一样

可参考 huawei coding rule

关于Verilog 中的for语句的探讨

在C语言中,经常用到for循环语句,但在硬件描述语言中for语句的使用较C语言等软件描述语言有较大的区别. 在Verilog中除了在Testbench(仿真测试激励)中使用for循环语句外,在Test ...

Verilog中锁存器与多路选择器

Verilog中锁存器与多路选择器 Verilog是一种硬件描述语言,它代表的是硬件. Verilog代表的就是逻辑门和连接线. 对于一个always@(*)控制的块而言,只要块中的表达式包含的任意的 ...

【转载】Verilog中的parameter

1. 概述 在Verilog中我们常常会遇到要将一个常量(算法中的某个参数)赋给很多个变量的情况,如: x = 10;y = 10;z = 10;如果此时10要改为9,就需要在代码中修改3个地方,非常 ...

Verilog中的阻塞与非阻塞

这篇文档值得阅读 按说阻塞与非阻塞是Verilog中最基本的东西,也是老生常谈.但是最近看到很多程序里用到阻塞语句竟然不是很明白,说到底是从来没有自己仔细分析过.当然一般情况程序中也是推荐用非阻塞的. ...

verilog中的latch到底是个啥??简直快疯了!!!!!

在很多地方都能看到,verilog中if与case语句必须完整,即if要加上else,case后要加上default语句,以防止锁存器的发生,接下来就来说说其中原因. 一,什么是锁存器?锁存器与触发器 ...

verilog中初值定义

在利用verilog进行开发时,往往需要对某些寄存器进行赋初值,下面根据笔者在设计中遇到的情况进行分析. 例如下面是实现流水灯(4个led),代码如下: module ledrun ( input   ...

【FPGA】 007 --Verilog中 case,casez,casex的区别

贴一个链接:http://www.cnblogs.com/poiu-elab/archive/2012/11/02/2751323.html Verilog中  case,casez,casex的区别 ...

system verilog中的跳转操作

在verilog中,使用disable声明来从执行流程中的某一点跳转到另一点.特别地,disable声明使执行流程跳转到标注名字的声明组末尾,或者一个任务的末尾. verilog中的disable命令 ...

随机推荐

Unity四元数小问题整理

1.Unity中,四元数不能保存超过360度的旋转,所以如此大范围的旋转不能直接两个四元数做插值(当你用0度和721度的四元数做插值,它只会转1度,而不会转两圈). 2.要把旋转设置成某个方向,用Lo ...

ENVI【非监督分类】

非监督分类的概念: 非监督分类,又称“聚类分析或者点群分析”.在多光谱图像中搜寻.定义其自然相似光谱集群的过程.它不必对图像地物获取先验知识,仅依靠图像上不同地物光谱信息进行特征提取,在统计特征的差别 ...

ES5特性Object.seal

一个对象在默认状态下: 1,extensible:可扩展(可以添加新的属性) 2,configurable:可配置(可以改变原有属性的特性,比如修改属性的enumerable) Object.seal ...

Set Php show errors

php中的Error等级分成16类,用一个16位的数值表示这16种集合元素.下面是从php.ini中截取的: ; Error Level Constants: ; E_ALL - All errors ...

SQL 触发器 instead of | insert

create trigger tgr_Insert on A instead of insert as print 'Hello World' go insert into A values('100 ...

FlashPaper组件——api

属性摘要 属性 说明 documentPath:String FlashPaper文件的路径. borderThickness:Number 边框宽度. borderColor:String 边框颜色 ...

Problem D: 栈小游戏

#include #include #include #include ...

es7你都懂了吗?今天带你了解es7的神器decorator

es7带来了很多更强大的方法,比如async/await,decorator等,相信大家对于async/await已经用的很熟练了,下面我们来讲一下decorator. 何为decorator? 官方 ...

复杂的web---web中B/S网络架构

web中B/S网络架构 1:web中B/S网络架构 2:CDN工作机制和架构 3:负载均衡:    B/S分别是浏览器/服务器,架构流程为:     当你访问网站的时候,浏览器发送各种请求给浏览器,服 ...

IT江湖--这个冬天注定横尸遍野

今年江湖大事繁起,又至寒冬,冻的不仅是温度,更是人心. 这两天上班途中看到多个公众号和媒体发了很多 "XXX公司裁员50%" 等等诸如此类的文章,也真是撼动人心.寒冬,比以往来的更 ...

verilog赋多位值_verilog中的default应该赋什么样的值相关推荐

  1. verilog赋多位值_Verilog入门学习笔记——第一弹

    关注.星标公众号,直达精彩内容 公众号:ZYNQ 整理:watchman Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图.逻辑表达式, ...

  2. verilog赋多位值_verilog赋值

    我现在要用且只能用八位的拨片开关对两个四位变量t1l,t1h赋值,且这两个变量t1l,t1h是要输出的,所以我编了一下程序,先通过拨片开关对输入变量d0,d1赋值,然后将d0,d1的值赋给t1l,t1 ...

  3. chmod 赋权所有_Linux中利用sudo进行赋权的方法详解

    前言 学习怎么在保护 root 密码的安全性的同时,为可信用户赋予所管理的网络功能和特定服务的权限. 我最近写了一个简短的 Bash 程序来将 MP3 文件从一台网络主机的 USB 盘中拷贝到另一台网 ...

  4. 在SQL中使用DEFAULT约束

    DEFAULT constraint is used to insert default value into a column on a table and if no any value is s ...

  5. switch()语句中,default,break关键字作用,以及贯穿问题

    switch()语句中,default,break关键字作用,以及贯穿问题 1.default代表switch()中没有此类情况 2没有break,语句会一直穿到底部

  6. java中default关键字_Java 中的 default 关键字及代码示例

    本文通过代码示例介绍如何在 Java 中使用 default 关键字. 基本上,有 3 个地方可以使用 Java 中的 default 关键字: 在 switch case 语句中指定默认值 在 Ja ...

  7. C语言中对结构体赋初值

    C 语言中结构体的赋初值,可以对指定元素赋值,不必按照顺序,前提是通过 "." 来操作,在 c-free 5.0版本下验证通过. <pre name="code&q ...

  8. 把一个对象的值赋给另一个对象中对应的属性

    当需要把一个对象的值赋给另一个对象中对应的属性时,写一大堆的get()和set()是很麻烦的事情,而且代码看起来会很丑.在项目中屡次接触过一个方法(函数),这个方法(函数)可以把一个对象中的值全部赋给 ...

  9. 如何在“首选项”摘要中显示Android首选项的当前值?

    这必须经常出现. 当用户在Android应用程序中编辑首选项时,我希望他们能够在Preference摘要中查看首选项的当前设置值. 示例:如果我有"丢弃旧邮件"的"首选项 ...

最新文章

  1. 090901 T 面试中遇到的一个Sql Question
  2. 爬虫 - 豆瓣网《小王子》热评
  3. 怎么运行aws的示例程序_使Spring Boot应用程序在AWS上无服务器运行
  4. 台北到淡水版Firefox无法播放视频
  5. android点击运行后无法显示设备,Android仿真器除了黑屏外什么都不显示,adb设备显示“设备离线”...
  6. CSS文字颜色渐变 - 案例篇
  7. netty发送对象消息
  8. 3-36Pytorch与tensorboardX
  9. 学习计算机组装与维护的意义,计算机组装与维护课程学习体会
  10. 云计算与虚拟化有什么区别?
  11. 厚积薄发 臻于至善,用友U9 cloud“王者归来”
  12. 学习Linux/Unix这么久了,你真的知道什么是终端吗?
  13. Android中Callable、Future、FutureTask的概念以及几种线程池的使用
  14. 型如=?gb2312?B?的转码
  15. 阿里云如何申请证书绑定域名以及域名验证
  16. Bounding box regression RCNN系列网络中矩形框的计算
  17. 秦汉三国政治史:东汉宰相制度
  18. 万能RabbitTemplate使用模板
  19. 初学编程,学哪种语言比较好?
  20. ROS+L2TP+IPSEC

热门文章

  1. 在英特尔独立显卡上部署YOLOv5 v7.0版实时实例分割模型
  2. 【appium】appium自动化入门之基本参数完整版(67个appium基本参数和关键字)
  3. 如何确定自己测试结束?
  4. python检测多重共线性程序_用 VIF 方法消除多维数据中的多重共线性
  5. HP服务器booting embedded lom 1 port 1 hpe ethernet 1gb 4-port 331i adapter处理
  6. 斯坦福 机器学习-第四章学习理论
  7. Windows上使用VLC插件的方式在IE浏览器上播放RTSP视频流
  8. 关于以太网IO模块如何选型
  9. MGCtoken与IMtoken哪个好?安全吗?
  10. 猿辅导python编程老师面试_猿辅导辅导老师面试一系列的感受