PowerDesigner使用MySQL的auto_increment 
  ◇问题描述: 
  PD怎样能使主键id使用MySQL的auto_increment呢?

   ◇解决方法: 
   打开table properties窗口 → columns → 选中id列 → 打开columns properties窗口 → 勾选identity即可 
   注意:概念模型没有此选项,物理模型才有

  2、PowerDesigner去掉SQL脚本中的双引号 
  
 ◇问题描述: 
   PD生成Oracle数据库建表SQL时,默认会给表名和字段名加上双引号,怎样能去掉SQL脚本中的双引号呢?

   ◇解决方法: 
   把Database → Edit Current DBMS → General → Script → Sql → Format → CaseSensitivityUsingQuote设置为NO即可

  3、PowerDesigner生成SQL语句时不使用Domain
  
 ◇问题描述: 
   如果在PD中使用了Domain,生成SQLServer的数据库SQL的脚本中会使用与Domain对应的自定义数据类型,而不会使用 SQLServer自己的数据类型,怎样能让PD生成SQL语句时使用SQLServer自己的数据库类型,而不是Domain对应的自定义数据类型呢?

   ◇解决方法: 
   把Database → Edit Current DBMS → General → Script → Objects → Domain → Enable设置为NO即可

  4、PowerDesigner中设置Entity的默认字体 
  
 ◇问题描述: 
   创建Entity时,如果PD默认字体不适合自己的个人习惯,每次创建了一个实体后都要修改实体的字体,非常麻烦,是否有只修改一次一劳永逸的方法呢?

   ◇解决方法: 
   Tools → Display Preferences... → Format → Entity → Modity... → Font → 进行相应修改并保存设置 → Set As Default

  5、PowerDesigner中设置Entity自动调整尺寸大小 
  
 ◇问题描述: 
   当给Entity添加了字段或其他修改时,Entiry的显示尺寸大小不会自动调整,怎样解决呢?

   ◇解决方法: 
   在Entity上点击右键 → 单击Format...菜单项 → 选择size标签页 → 勾选Auto adjust to text即可

powerdesiner的自增长列,以前都是生成sql语句后,再在自增长列中添加Identity(1,1).找了好久,终于打到了方法 .

1.        如果dbms是MsSql,则选定表后,database-> edit current dbms-> 出现DBMS properties对话框,选择General页,左侧的树选择SQL 2000-> Profile-> Column-> Extended Attributes 下面的ExtIdentityIncrement是步进值,ExtIdentitySeed是起始值,分别设定默认值,后返回。 
2.      在表的属性对话框里面,选择Clumns页,按Ctrl+U,在Idenitity前面打上钩。如有必要,也可以将 
ExtIdentityIncrement和ExtIdentitySeed也打上勾,这样在设定Idenitity时也可以直接指定起始值和步进值了。 
btw:我用的是PD11,刚刚开始学PD,关于Identity的设定也是找了好久。

3.     对于ql server ,在表的属性对话框里面,选择Clumns页,按Alt+enter进入列的属性页面,在右下角勾选Idenitity属性即可.

取消Name和Code关联的设置 
     在设计PDM文件的时候,设计一张表,在填写栏位的时候,如果我们输入Name,Code会跟着变化.这个完全是西方人的习惯,因为他们的Name和 Code都是E文,所以不会出现什么问题.但是,我们使用的时候,就会很不习惯,Name应该是中文名字,Code才是资料库的实际字段名.
     下面记录修改设置的步骤:
         Step 1:
             菜单栏找到Tools,点开,找到General Options,点击 
 
Step 2:打开Dialog将Operating modes中的 Name To Code mirroring 將前面的勾去掉
 
OK!完成

sql语句中表名与字段名前的引号去除:

打开cdm的情况下,进入Tools-Model Options-Naming Convention,把Name和Code的标签的Charcter case选项设置成Uppercase或者Lowercase,只要不是Mixed Case就行!
或者选择Database->Edit current database->Script->Sql->Format,有一项CaseSensitivityUsingQuote,它的 comment为“Determines if the case sensitivity for identifiers is managed using double quotes”,表示是否适用双引号来规定标识符的大小写,可以看到右边的values默认值为“YES”,改为“No”即可!
或者在打开pdm的情况下,进入Tools-Model Options-Naming Convention,把Name和Code的标签的Charcter case选项设置成Uppercase就可以!

在修改name的时候,code的值将跟着变动,很不方便

修改方法:PowerDesign中的选项菜单里修改,在[Tool]-->[General Options]->[Dialog]->[Operating modes]->[Name to Code mirroring],这里默认是让名称和代码同步,将前面的复选框去掉就行了。

由pdm生成建表脚本时,字段超过15字符就发生错误(oracle)

原因未知,解决办法是打开PDM后,会出现Database的菜单栏,进入Database - Edit Current DBMS -script-objects-column-maxlen,把value值调大(原为30),比如改成60。出现表或者其它对象的长度也有这种错误的话都可以选择对应的objects照此种方法更改!
或者使用下面的这种方法:
     生成建表脚本时会弹出Database generation提示框:把options - check model的小勾给去掉,就是不进行检查(不推荐)!
    或者可以修改C:\Program Files\Sybase\PowerDesigner Trial 11\Resource Files\DBMS\oracl9i2.xdb文件
     修改好后,再cdm转为pdm时,选择“Copy the DBMS definition in model”把把这个资源文件拷贝到模型中。

由CDM生成PDM时,自动生成的外键的重命名

PDM Generation Options->Detail->FK index names默认是%REFR%_FK,改为FK_%REFRCODE%,其中%REFRCODE%指的就是CDM中Relationship的code!另外自动生成的父字段的规则是PDM Generation Options->Detail->FK column name template中设置的,默认是%.3:PARENT%_%COLUMN%,可以改为Par%COLUMN%表示是父字段!

建立一个表后,为何检测出现Existence of index的警告 
    A table should contain at least one column, one index, one key, and one reference.
可以不检查 Existence of index 这项,也就没有这个警告错误了!
意思是说没有给表建立索引,而一个表一般至少要有一个索引,这是一个警告,不用管也没有关系!

如何防止一对一的关系生成两个引用(外键) 
要定义关系的支配方向,占支配地位的实体(有D标志)变为父表。
在cdm中双击一对一关系->Detail->Dominant role选择支配关系

修改报表模板中一些术语的定义 
即文件:C:\Program Files\Sybase\PowerDesigner Trial 11\Resource Files\Report Languages\Chinese.xrl
Tools-Resources-Report Languages-选择Chinese-单击Properties或双击目标
修改某些对象的名称:Object Attributes\Physical Data Model\Column\
        ForeignKey:外键
        Mandatory:为空
        Primary:主键
        Table:表
用查找替换,把“表格”替换成“表” 
修改显示的内容为别的:Values Mapping\Lists\Standard,添加TRUE的转化列为是,FALSE的转化列为空
另外Report-Title Page里可以设置标题信息

PowerDesigner11中批量根据对象的name生成comment的脚本

'******************************************************************************
'* File:     name2comment.vbs
'* Purpose: Database generation cannot use object names anymore
'            in version 7 and above.
'            It always uses the object codes.
'
'            In case the object codes are not aligned with your
'            object names in your model, this script will copy
'            the object Name onto the object comment for
'            the Tables and Columns.
'
'* Title:    把对象name拷入comment属性中
'* Version: 1.0
'* Author:
'* 执行方法:PD11 -- Open PDM -- Tools -- Execute Commands -- Run Script
'******************************************************************************

Option Explicit
ValidationMode = True
InteractiveMode = im_Batch

Dim mdl ' the current model

' get the current active model
Set mdl = ActiveModel
If (mdl Is Nothing) Then
   MsgBox "There is no current Model"
ElseIf Not mdl.IsKindOf(PdPDM.cls_Model) Then
   MsgBox "The current model is not an Physical Data model."
Else
   ProcessFolder mdl
End If

' This routine copy name into code for each table, each column and each view
' of the current folder
Private sub ProcessFolder(folder)
   Dim Tab 'running table
   for each Tab in folder.tables
      if not tab.isShortcut then
         tab.comment = tab.name
         Dim col ' running column
         for each col in tab.columns
            col.comment= col.name
         next
      end if
   next

Dim view 'running view
   for each view in folder.Views
      if not view.isShortcut then
         view.comment = view.name
      end if
   next

' go into the sub-packages
   Dim f ' running folder
   For Each f In folder.Packages
      if not f.IsShortcut then
         ProcessFolder f
      end if
   Next
end sub

PowerDesigner 生成SQL的Existence of refernce错误问题 
现象:用PowerDesigner生成SQL语句时,提示Existence of refernce错误。
原因:该表没有与其他表的关联(如外键等),而PowerDesigner需要存在一个refernce才能生成SQL.
解决方法:
    在工具栏空白处右键打开Palette面板,选中Link/Extended Dependency 按钮,然后在提示出错的表上添加到自己的Dependency。
    重新生成SQL,你将发现刚才提示的错误没有了,问题解决。
   
利用PowerDesigner批量生成测试数据 
主要解决方法:
A:在PowerDesigner 建表
B:然后给每一个表的字段建立相应的摘要文件
步骤如下:
Model->Test Data Profiles配置每一个字段摘要文件General:输入Name、Code、
选择Class(数字、字符、时间)类型
选择Generation Source: Automatic、List、ODBC、File Detail:配置字段相关信息
所有字段摘要文件配置完成后双击该表->选择字段->Detail->选择Test Data Parameters 摘要文件如果字段值与其它字段有关系在: Computed Expression 中输入计算列--生成测试数据:
DataBase->Generation Test Data->
选择:Genration 类型(Sript、ODBC)
     Selection(选择要生成的表)
     Test Data Genration(Default number of rows 生成记录行数)

1.使用PD12时出现以下错误:

Reference constraint name maximum

length is limited to 30 characters

Key constraint name maximum length

is limited to 30 characters

Table code maximum length

Column code maximum length

……

导致生成建表SQL时通不过,细究原因原来是默认设置的问题,改下就可以了。

调整以下参数:

Database=>Edit current DBMS 数据库类型::Script\Objects\MaxConstLen value=>255

Database=>Edit current DBMS 数据库类型::Script\Objects\Table\Maxlen value=>255

Database=>Edit current DBMS 数据库类型::Script\Objects\Column\Maxlen value=>255

但是要注意的是,表名、列名、主键等不要超过30个字符,否则Oracle不认。

2.附:生成数据库脚本

Database=>Generate database

-----------------------------

-----------------------------

默认生成的SQL语句(表名、字段名等)都带双引号,导致用SQLPlus插入Oracle数据库时表名与表列都带""号,要解决这个问题,在数据库中做如下设置:

Database-> Edit Current DBMS...-> Script-> Sql->

Format-> CaseSensitivityUsingQuote 改为No

3.如何在powerDesigner中给字段赋默认值

双击表,出现column列表,双击要设置的列的左边的灰色框,应该会弹出新的窗口,然后在新窗口上选择standard checks ,里面有default的

我一直用的是Oracle数据库,所以在设计CDM的时候,就没有选定表主键自动增长。这是SQL Server和MYSQL才有的方式。Oracle中一般是采用SEQUENCE的方式来处理主键增长的问题的。相对写程序来说,Oracle的处理方式比较复杂一点。

打开PDM,选中一张表,双击打开表属性,选择Columns列,选中主键字段,双击打开,界面的最下方右下角有一个Identity,选中这个之后,生成的表主键就是自动增长的了。

要一个表一个表的手工去修改。这要改到什么时候啊!

在google上搜索了一下,发现居然有很多人碰到这个问题,还有一个vbs的脚本执行一次就解决了。大家可以去搜索一下,脚本名字叫SetIdentity.vbs。

在PD中执行脚本方法也很简单,Tools——Excecute Commands——Edit/Run Scripts。

另外还有一个脚本,是用来把字段的name统一设置为数据库字段的comments字段内容的name2comment.vbs代码。也很好用。(一般name都是中文描述,code就是代码)

本文来自CSDN博客,转载请标明出处:http://blog.csdn.net/yycc2008/archive/2009/05/18/4198230.aspx

2、由pdm生成建表脚本时,字段超过15字符就发生错误(oracle) 
原因未知,解决办法是打开PDM后,会出现Database的菜单栏,进入Database - Edit Current DBMS -script-objects-column-maxlen,把value值调大(原为30),比如改成60。出现表或者其它对象的长度也有这种错误的话都可以选择对应的objects照此种方法更改!

或者使用下面的这种方法: 
生成建表脚本时会弹出Database generation提示框:把options - check model的小勾给去掉,就是不进行检查(不推荐)! 
或者可以修改C:Program FilesSybasePowerDesigner Trial 11Resource FilesDBMSoracl9i2.xdb文件 
修改好后,再cdm转为pdm时,选择“Copy the DBMS definition in model”把把这个资源文件拷贝到模型中。

3、生成的建表脚本中如何把对象的双引号去掉? 
打开cdm的情况下,进入Tools-Model Options-Naming Convention,把Name和Code的标签的Charcter case选项设置成Uppercase或者Lowercase,只要不是Mixed Case就行! 
或者选择Database->Edit current database->Script->Sql->Format,有一项CaseSensitivityUsingQuote,它的comment为“Determines if the case sensitivity for identifiers is managed using double quotes”,表示是否适用双引号来规定标识符的大小写,可以看到右边的values默认值为“YES”,改为“No”即可! 
或者在打开pdm的情况下,进入Tools-Model Options-Naming Convention,把Name和Code的标签的Charcter case选项设置成Uppercase就可以!

4、建立一个表后,为何检测出现Existence of index的警告 
A table should contain at least one column, one index, one key, and one reference. 
可以不检查 Existence of index 这项,也就没有这个警告错误了! 
意思是说没有给表建立索引,而一个表一般至少要有一个索引,这是一个警告,不用管也没有关系!

5、创建一个表在修改字段的时候,一修改name的内容,code也跟着变化,如何让code不随着name变化 
Name和Code 的右侧都有一个按钮“=”,如果需要不同步的话,把这个按钮弹起来就可以了。 
Tools->General Options->Dialog->Name to Code Mirroring (去掉)

6、由CDM生成PDM时,自动生成的外键的重命名 
PDM Generation Options->Detail->FK index names默认是%REFR%_FK,改为FK_%REFRCODE%,其中%REFRCODE%指的就是CDM中Relationship的code!另外自动生成的父字段的规则是PDM Generation Options->Detail->FK column name template中设置的,默认是%.3:PARENT%_%COLUMN%,可以改为Par%COLUMN%表示是父字段!

7、如何防止一对一的关系生成两个引用(外键) 
要定义关系的支配方向,占支配地位的实体(有D标志)变为父表。 
在cdm中双击一对一关系->Detail->Dominant role选择支配关系

8、修改报表模板中一些术语的定义 
即文件:C:Program FilesSybasePowerDesigner Trial 11Resource FilesReport LanguagesChinese.xrl 
Tools-Resources-Report Languages-选择Chinese-单击Properties或双击目标 
修改某些对象的名称:Object AttributesPhysical Data ModelColumn 
ForeignKey:外键 
Mandatory:为空 
Primary:主键 
Table:表 
用查找替换,把“表格”替换成“表” 
修改显示的内容为别的:Values MappingListsStandard,添加TRUE的转化列为是,FALSE的转化列为空 
另外Report-Title Page里可以设置标题信息

转载于:https://www.cnblogs.com/gaomin_blog/archive/2011/11/14/2248463.html

PowerDesigner使用技巧相关推荐

  1. PowerDesigner小技巧

    1. 附加:工具栏不见了 调色板(Palette)快捷工具栏不见了 PowerDesigner 快捷工具栏 palette 不见了,怎么重新打开,找回来呢 上网搜索了一下"powerdesi ...

  2. PowerDesigner实用技巧小结(4)

    下述十四个技巧,是许多人在大量的数据库分析与设计实践中,逐步总结出来的.对于这些经验的运用,读者不能生帮硬套,死记硬背,而要消化理解,实事求是,灵活掌握.并逐步做到:在应用中发展,在发展中应用. 1. ...

  3. PowerDesigner使用总结(转)

    PowerDesigner使用总结 一.使用PowerDesigner生成HTML功能 使用PowerDesigner设计数据库关系以后,可以生成HTML,供团队成员进行讨论. Step 1:创建一个 ...

  4. SpringBoot脚手架工程快速搭建

    1.说明 本文汇总了搭建Spring Boot工程的文章, 可以用于快速搭建一个新的Spring Boot工程. 包括最开始的PowerDesigner数据库设计工具使用, 导出对应数据库的DDL脚本 ...

  5. 狼奔代码生成器使用说明

    1.生成的解决方案的运行环境 A.开发工具Visual Studio 2010 SP1或者Visual Studio 2012 B.数据库Sql Server 2005/2008版本.oracle 或 ...

  6. linux怎么卸载socat,linux socat命令

    SQL--索引 索引是根据表中一列或若干列按照一定顺序建立的列值与记录行之间的对应关系表.在数据库系统中建立索引主要有以下作用: l快速存取数据: l保证数据记录的唯一性: l实现表与表之间的参照完整 ...

  7. PowerDesigner中生成外键FK技巧,powerdesigner导出脚本语言没有外键的处理方法

    PowerDesigner中生成外键FK技巧 先写出概念模型,生成逻辑模型,生成逻辑模型后,完善对逻辑模型的补充,处理完善后用 通过选择的 Declerative 则就可以生成带外键的脚本语言 如果还 ...

  8. powerdesigner 同步mysql 报错_PowerDesigner实用技巧小结 及 导出word,想字段顺序跟模型中一致,如何设置...

    powerdesigner导出word,想字段顺序跟模型中一致,如何设置 右键List of columns of the table %PARENT% ,selection,define  sort ...

  9. PowerDesigner的一下简单使用技巧

    2019独角兽企业重金招聘Python工程师标准>>> 修改表的字段Name的时候,Code不自动跟着变 **tools-> General Options-> Dial ...

最新文章

  1. Oracle cursor_sharing 参数 详解
  2. Nginx配置SSI
  3. java多台_Java 多态
  4. java猜拳论文_Java人机猜拳实现的思路及方法实例
  5. linux chmod命令数字,菜鸟学Linux命令:chmod命令和数字文件权限
  6. numpy数据类型dtype转换
  7. KVM 介绍(7):使用 libvirt 做 QEMU/KVM 快照和 Nova 实例的快照 (Nova Instances Snapshot Libvirt)
  8. vue 使用tracking.js开发人脸识别功能
  9. Gabor变换(2)
  10. 2022-2027年中国酒店及酒店管理市场竞争态势及行业投资前景预测报告
  11. 历年诺贝尔生理学或医学奖
  12. 基于opencv和pillow实现人脸识别系统(附详细源代码)
  13. win7系统如何添加打印机服务器,怎样如何添加打印机驱动步骤
  14. 【玩转腾讯云】免费搭建你的微信机器人!24小时在线!上云!
  15. 可道云微信群机器人功能展示
  16. 微型计算机基础学的什么,微型计算机操作基础课的教学
  17. DAO:可及性与问责制、分权与效率 、开放与控制的三大权衡
  18. android 获取通话记录不准确(为上次记录)
  19. Docker基础(1) 原理篇
  20. Python Day22

热门文章

  1. 7个华为关于C语言的经典面试题
  2. php mysql crud demo_基于php和mysql的简单的dao类实现crud操作功能_PHP教程
  3. C++11新特性之lambda表达式
  4. 一文读懂视频监控系统全过程内容
  5. 8口poe交换机产品介绍
  6. [渝粤教育] 西南石油大学 建设法规 参考 资料
  7. [渝粤教育] 西南科技大学 服务管理 在线考试复习资料
  8. [渝粤教育] 西南科技大学 管理学原理 在线考试复习资料(5)
  9. 浦发银行计算机笔试题库,2018浦发银行校园招聘笔试试题库
  10. 结尾的单词_22个以“ez”结尾的西语单词,你掌握了吗?