Vol.11No.9Sep.2009

第11卷第9期

2009年9月

http://www.doczj.com/doc/eae9543010661ed9ad51f3cb.html 2009.9

0引言

随着社会和科技的不断发展,现代雷达系统

更加复杂且精准,故需要借助计算机来完成对系统各项功能和性质的仿真,以完成对系统性能的评估。Matlab 提供了强大的仿真平台。一个典型的雷达系统主要由天线、发射机、接收机、信号处理机、伺服系统和终端设备等部分构成。本文主要讨论雷达信号处理部分,并结合某脉冲雷达信号处理的实例来说明Matlab 在雷达信号处理系统仿真中的应用。

1雷达回波信号接收

本例主要采用的回波信号由目标回波、地物

杂波和系统噪声三部分构成。

其中目标回波的多普勒频率f d =250Hz ,为线性调频信号,动目标延迟约为133.3μs (即20公里处)。通过Matlab 提供的modulate 函数,可以方便地产生线性调频信号。modulate 函数调用格式如下:

y=modulate (x ,fc ,fs ,

‘method ’,opt)其中参数x 为调制信号序列,f c 为30MHz 载波频率,f s 为40MHz 采样频率,‘method ’参数用

来决定进行何种调制,opt 为调制灵敏度,也就是

线性调频信号的步进系数。

地物杂波为瑞利分布杂波。瑞利分布是雷达

杂波中最常用、也是用得最早的一种统计模型。

Matlab 提供的raylrnd 函数可用来产生瑞利分布的

随机数。raylrnd (B ,m)中的参数B 为瑞利分布参数,m 是一个包含两个元素的一维向量,这两个元素分别代表瑞利分布随机数矩阵的行数和列数。

系统噪声是(0,0.5)上的均匀分布噪声。通过Matlab 提供的rand 函数可产生均匀分布的随机数。图1所示是运用Matlab 得到的仿真结果图。

2信号处理系统仿真

雷达信号处理的目的是消除不需要的信号及

干扰,提取或加强由目标所产生的回波信号。一个典型的脉冲雷达信号处理部分主要由A/D 采样、

基于Matlab 的雷达系统仿真

李媛媛,薛媛,陈凯

(西安电子科技大学,陕西

西安

710071)

要:根据雷达信号处理的主要方式,运用信号处理理论与MATLAB 仿真软件相结合的思

想,提出了一个雷达系统的仿真模型。该模型具有包含杂波的信号进入雷达信号处理机的动态处理过程。文中用MATLAB 对一脉冲雷达系统进行了仿真,同时给出了具体过程的仿真图形。

关键词:雷达信号处理;脉冲压缩;相干检波;恒虚警处理

(a)单个周期回波(含噪声)频谱

基于matlab的雷达,基于Matlab的雷达系统仿真相关推荐

  1. MATLAB案例学习-基于雷达和摄像头的传感器融合

    文章目录 前言 生成场景 定义雷达和摄像头传感器 生成跟踪器 仿真场景 总结 帮助函数 前言 本文主要参考MATLAB自动驾驶工具箱的案例<Sensor Fusion Using Synthet ...

  2. 【雷达通信】基于matlab距离角度解耦法MIMO-OFDM雷达波束形成【含Matlab源码 2208期】

    ⛄一.获取代码方式 获取代码方式1: 完整代码已上传我的资源:[雷达通信]基于matlab距离角度解耦法MIMO-OFDM雷达波束形成[含Matlab源码 2208期] 点击上面蓝色字体,直接付费下载 ...

  3. matlab 雷达系统界面,基于Matlab的雷达系统仿真软件包设计与实现

    0 引言    近些年来国际上相继发展了一些雷达仿真系统,而国内相控阵雷达系统和脉冲压缩雷达系统仿真软件的研究已经成为一个热点.对于一个复杂的雷达系统,系统建模与仿真技术是雷达系统设计和研究的一个重要 ...

  4. 【基于参数估计的ISAR定标MATLAB仿真实验】

    本章内容简介:分析了CPF(三次相位函数法),CICPF(相干三次相位函数法)和ICPF(积分三次相位函数法)三种LFM信号调频率估计方法,分析了基于LOG算子(高斯拉普拉斯)的散射点提取方法,进行仿 ...

  5. 合成孔径雷达成像matlab,合成孔径雷达成像Matlab仿真研究.doc

    合成孔径雷达成像Matlab仿真研究.doc 合成孔径雷达成像Matlab仿真研究 摘 要: 计算机仿真是现代雷达研究中的重要技术之一,针对合成孔径雷达(SAR)成像中影响仿真结果的因素,从分析SAR ...

  6. 泊位 matlab,一种基于环视相机的自动泊车方法 | 厚势汽车

    原标题:一种基于环视相机的自动泊车方法 | 厚势汽车 厚势按:针对泊车摄像头视场有限的问题,提出了一种基于环视相机的自动泊车方法.采用 4 个鱼眼摄像头,构建了一种环绕车身 360° 的实时视觉泊车辅 ...

  7. 基于深度法向约束的稀疏雷达数据深度补全(商汤科技和香港大学联合提出)

    作者:Tom Hardy Date:2020-02-09 来源:基于深度法向约束的稀疏雷达数据深度补全(商汤科技和香港大学联合提出)

  8. 贝叶斯网络结构学习之K2算法(基于FullBNT-1.0.4的MATLAB实现)

    题目:贝叶斯网络结构学习之K2算法(基于FullBNT-1.0.4的MATLAB实现) 有关贝叶斯网络结构学习的一基本概念可以参考:贝叶斯网络结构学习方法简介 有关函数输入输出参数的解释可以参考:贝叶 ...

  9. 基于matlab/simulink的双电机速度跟踪伺服系统仿真,基于MatlabSimulink的伺服系统仿真pdf.doc...

    基于MatlabSimulink的伺服系统仿真pdf ·90 ·长江大学学报 (自科版) 理工卷 2007 年 12 月第 4 卷第 4 期Journal of Yangtze University ...

  10. matlab设计译码器,基于MATLAB的循环码编译码器设计与仿真.doc

    扳昂旨螺冈唉陨裤外狸尿恨铸伸隧刽搅必勒诚天腑皖漂豌鲁靳碑缆键兽峙棘陶宽槐撒层僧袁廖颤渐魄货鼎躬薛扬衍逮西兰迫依煤鲁虐渠惫平合啥昭并屿己笆坍痞庐披吏去凄嘛兄察突徊溅今箩直藩潦咙锨谓崇若制匹扮复淌颐糖嗅你 ...

最新文章

  1. TheBeerHouse 网站项目学习笔记(5)---架构设计
  2. linux网卡设置详解,史上最详细的Linux网卡ifcfg-eth0配置详解
  3. bzoj2375 疯狂的涂色
  4. 硬核评测:企业上云的极速存储挑战,华为云全新极速IO云硬盘性能评测
  5. linux0.11中断描述符,Linux 0.11总结
  6. nginx 安装_安装Nginx的几种方式
  7. linux怎么加块硬盘,如何给linux添加一块硬盘
  8. 基于PHP+MySQL游戏商城销售网站的设计与实现#计算机毕设
  9. 超简单!使用jQuery实现登录页面的“记住密码”功能
  10. 僵尸网络瞄准Linux服务器
  11. Java实现 LeetCode 365 水壶问题
  12. ACM模版-f_zyj v 2.0——更新通知
  13. 电话交换机--程控交换机
  14. Windows10系统下电脑时间不对,怎么办?
  15. 《信条》过去不可挽回, 未来已成史书(无剧透版)
  16. ES数据架构与关系数据库Mysql对比,例如mysql库对应es索引
  17. 《15天玩转WPF》—— 直线、矩形、椭圆的各种画法
  18. 图像处理 手写体英文字母的目标检测与识别 实验报告
  19. “飞花令”APP(简单的收索软件)
  20. 黑客X元素关闭了,给大家完成了一份电子杂志《黑客X元素》Terminator X

热门文章

  1. 微信小程序周日历制作
  2. 蓝桥杯软件组如何混进省一
  3. 分布式缓存与本地缓存的区别
  4. 程序员考证,这十大证书含金量最高
  5. P4455 [CQOI2018]社交网络(矩阵树定理)
  6. 2021电工杯数学建模A题 高铁牵引供电系统论文和代码
  7. STM32F0项目进阶之实时时钟DS1307
  8. POJ 3322 Bloxorz I(进阶指南,广搜)
  9. 华为音频编辑服务,助力开发者高效创新
  10. 融云荣获创业邦数字化服务创新奖