《国电智深DCS培训总结》由会员分享,可在线阅读,更多相关《国电智深DCS培训总结(17页珍藏版)》请在人人文库网上搜索。

1、总结本总结是以在北京学习课程的安排为依据,进行的个人对国电智深DCS掌握和体会的简单叙述。学习内容:1、 系统总体介绍和创建工程EDPF-NT分散控制系统是针对大型电站机组开发的一套具备国际先进水平的大型自动控制系统。并在2006年进行了EDPF-NT分散控制系统的全面升级。首先在D盘创建3个文件夹,工程文件夹0gongcheng、域文件夹00yu、DPU文件夹00dpu。在EDPF-NT Plus选择工程管理器、打开,如图如上图,输入工程名并选在D盘创建好的0gongcheng文件夹为根目录,创建工程。如上图,点击添加本地域,输入域号和域名字,点确定。如上图,选择站管理,点击增加站,分别创建。

2、MMI站和DPU站(同时创建备用站)如上图,运行MIS,切换已安装站点和新建站点主目录为域文件目录D:/00yu并输入域号站号,安装新站,MMI站就建成了,运行Drop Starter,启动MMI站,IP地址172.101.1.170。接下来,创建虚拟网卡Microsoft Loopback Adapter如上图,创建好虚拟网卡,输入MMI站IP地址,和DPU地址172.101.1.1,子网掩码都为255.255.255.0如上图,运行MIS,新建站点运行主目录未D:/00dpu,输入域号站号,安装新站,并将Drop Starter复制到D:/00dpu,把Drop Starter起始位置改为。

3、D:/00dpu,运行Drop Starter,启动DPU站。2、 卡件介绍、点记录和卡件布置在一般卡件柜内有1对DPU卡件,和4组IO卡件(每组6个)如下图,卡件上的数字为卡件地址。扩展柜如下图,打开工程管理器,创建IO卡件逻辑图。先将所需要的卡件拖入图中安列排列好,在自定义名称,卡件位置,模块地址上分别输入对应的参数保存。然后,配置卡件图(1),配置SAMA(2),编译SAMA、更新数据库(3)。回到1号站配置中,刷新列表,下载到DPU。如上图,在点记录编辑里输入点名,选择点类型,输入工程单位,选择硬件信息,设定报警值,勾选历史存储,选择设备区,最后点新建。3、 算法介绍和控制逻辑组态PK。

4、逻辑拖入所需的算法块,连好线,注意输出算法块在上游定义,并且所输入的中间点必须在点记录编辑中新建点,如上图所示,然后进行1.配置SAMA 2.编译SAMA、更新数据库 3.转换SAMA。回到1号站配置中,刷新列表,下载到DPU。马达逻辑的状态输入和指令输出的点名,都为在点记录编辑里已定义的点(单红线)。16位GP点在使用时也必须在点记录编辑里定义,同样,输出的算法块位GP点时,也要定义在该算法块的上游(双红线)。需要反映在画面上的算法块,要自定义算法块名(红圈内),如给水泵马达的各项指令的发出都必须用的马达块算法块的名称,来写操作区指令。4、 过程画面介绍及组态GB(Graphic Build。

5、er):EDPF NT+系统重要的组态工具软件。利用画面图形编辑工具,制作、编辑、生成过程画面;组态工作包括设备的控制生产和操作员监视,操作员在画面上要看到设备的运行状态、运行参数、相关管线的介质、报警信息等等。GD(Graphic Display):实时画面调用程序;画面用于EDPF NT+系统MMI站,GB制作静态画面,GD调用动态画面。按照上图所示顺序,创建新的过程画面。图1 图2图1是指令操作区的命令组态。图2是反馈条件语句的命令组态。其中红线以上部分是当点名为D01P100A开关量输出点为1时,反馈区内变为红色;红线以下部分是当点名为D01P100A开关量输出点为0时,反馈区内变为绿。

6、色。如上图,当在GD画面中,点开灯按钮时(1);算法名为P01K100A的KEYBOARD算法的第1路输出为1(脉冲信号),连接线变红(2);RS触发器置位,输出为1,连接线变红(3);反馈灯由绿变红(4)。如上图,当在GD画面中,点关灯按钮时(1);算法名为P01K100A的KEYBOARD算法的第2路输出为1(脉冲型号),连接线变红(2);RS触发器复位,输出为0,连接线变红(3);反馈灯由红变绿(4)。5、 虚拟控制器与仿真模型首先,创建仿真逻辑,如下图。其中,单红线标出的是给水泵A逻辑的指令输出点名;双红线标出的是仿真逻辑输出到给水泵A逻辑状态反馈的点名,该点名也必须到点记录编辑里定义。

7、。上图是仿真逻辑的输出和给水泵A逻辑的输入同过一个Excel文件链接起来,按图中所示输入对应的命令,最后保存为csv格式文件。接下来,通过EDPF-NT Plus中的站管理工具进行仿真。打开站管理工具(DropTool),点生成仿真文件(1)来选择csv格式仿真文件,下载仿真文件(2),切换仿真状态(3)将状态切为仿真运行。6、 控制逻辑组态如上图创建给水泵A控制区逻辑。如上图创建给水泵A条件语句逻辑。点启动,启动字体由黄变红指令发出,给水泵由绿变红,给水泵已启动完成。点停止,启动字体由黄变绿指令发出,给水泵由红变绿,给水泵已停止完成。7、 典型逻辑和安全系统PSOF逻辑过程画面和操作过程画面。

8、。逻辑图中的绿线是为了跟踪实现勿扰切换用的。通过对上图中划红线的部分进行选定或勾选,来完成对用户权限的放开。8、 系统维护与系统诊断本系统主要通过DCS自检(上图)来监视系统中各个节点的工作情况,根据右侧图例来诊断各节点的故障状态。此外,这次培训还对首出、电动门和顺控等典型过程控制的DCS编程的实现过程,进行了比较系统的讲解。每天下午,进行了上机实训。将编制的程序下载到虚拟DPU中,进行在线编译调试。感谢领导安排的学习机会,这次培训环境优美,学习气氛浓厚,培训内容和专业知识以及实际工作联系紧密,我们有很大收获。培训的时间比较紧促,很多东西不能马上很好地消化,这需要今后自己的不断理解,在实际中应用,更好地为工作服务肖江。

国电智深dcs c语言编程,国电智深DCS培训总结相关推荐

  1. 国电智深dcs c语言编程,国电智深DCS手册.pdf

    接口程序数据接收方使用手册 1 简介 1.1 编写目的 本文档主要介绍 DCS 的数据接口站上运行的接口程序的工作方式.功能. 以及接口程序的使用对象:本文档供接口程序的数据接收方使用.该文档的读者 ...

  2. c语言编程杭电1008,C语言程序设计_杭州电子科技大学cyy1_3

    <C语言程序设计_杭州电子科技大学cyy1_3>由会员分享,可在线阅读,更多相关<C语言程序设计_杭州电子科技大学cyy1_3(8页珍藏版)>请在人人文库网上搜索. 1.1,可 ...

  3. 电流速断保护c语言程序,TQXDB-III多功能继电保护实验培训系统实验指导书2.doc

    TQXDB-III多功能继电保护实验培训系统实验指导书2,继电保护作业指导书,多功能电表作业指导书,实验指导书,计算机网络实验指导书,实验室作业指导书,c语言实验指导书答案,实验指导书格式,信号与系统 ...

  4. 易历知食c语言编程,易历知食_易历知食下载[2021官方最新版]易历知食安全下载_ 极速下载...

    软件介绍 易历知食包含有多种游戏娱乐和实用工具,主要功能如下: 1.太易日历,是个万年历,可查公元前日历,信息包括公历.农历.回历.节气.节日.干支.星座.帝王年号.民间杂占.三元九运.五运六气.太阳 ...

  5. c语言离散卷积编程,数电实验一 离散卷积的C语言编程.ppt

    数电实验一 离散卷积的C语言编程.ppt 实验一 离散卷积的C语言编程实验,DSP实验室,实验性质,综合设计性实验,实验目的,1 了解和认识常用的各种信号: 2 掌握卷积的定义和计算方法: 3 掌握在 ...

  6. 各路大牛的C语言编程建议和技巧,看完感触颇深

    我们鼓励在编程时应有清晰的哲学思维,而不是给予硬性规则.我并不希望你们能认可所有的东西,因为它们只是观点,观点会随着时间的变化而变化.可是,如果不是直到现在把它们写在纸上,长久以来这些基于许多经验的观 ...

  7. 杭电第七次作业c语言短学期,杭电短学期:算法与编程.doc

    杭电短学期:算法与编程.doc 下载提示(请认真阅读)1.请仔细阅读文档,确保文档完整性,对于不预览.不比对内容而直接下载带来的问题本站不予受理. 2.下载的文档,不会出现我们的网址水印. 3.该文档 ...

  8. 【嵌入式基础】用C语言编程、寄存器实现LED流水灯程序;stm32CubeMX+Keil使用HAL库点亮流水灯

    本文主要介绍STM32F103系列芯片的地址映射和寄存器映射原理,GPIO端口的初始化设置步骤.利用C语言编程和寄存器点亮流水灯以及stm32CubeMX+Keil使用HAL库点灯 目录 一.STM3 ...

  9. 单片机c语言编程入门下載,系列单片机C语言编程入门.pdf

    系列单片机C语言编程入门.pdf 本文由c h e n j u n j u n j u n 3贡献 p d f 文档可能在WAP端浏览体验不佳.建议您优先选择TXT,或下载源文件到本机 查看. 维普资 ...

最新文章

  1. 在jmeter测试中模拟不同的带宽环境
  2. jsp实现数据禁用和只读
  3. Git 添加和提交组合命令
  4. 表格中复制后出现空格_软件应用在Excel表格中怎样批量删除空格?
  5. Apache的详细配置
  6. Backup Exec 2012下载地址
  7. jqgrid 批量启动所有行为可编辑状态
  8. python api调用百度ai平台_Python 百度AI接口调用
  9. 迁移pg_塑料包装袋中添加剂迁移到食品和药品中危害健康
  10. Sun公司开源游戏服务器Project Darkstar Server——(Sun game server , 简称 sgs)学习笔记(二):多人游戏...
  11. 心得 | 《用得上的商学课》 004 边际成本 | 飞机起飞前的座位,只卖一块钱?...
  12. c++ 之条件运算符--- ?:
  13. 教学思路SQL之入门习题《学员成绩》 二、基础单表查询
  14. 拼音表大全图_20x11二层带阁楼别墅设计图纸及效果图大全
  15. 04 捷联式惯导系统概要
  16. 比较全面的OA系统需求分析
  17. 计算机芯片制造原理,制作芯片与下围棋——一张图说明芯片的工作原理
  18. 使用定时器时遇到warning: #188-D: enumerated type mixed with another type警告
  19. HarmonyOS APP开发入门3——组件(二 Text组件)
  20. python和scre_python学习日志10

热门文章

  1. 【东信北邮成都研发中心】一年Java开发经验面经
  2. 使用python清空文件夹
  3. Android使用CameraX打开相机拍照简单使用
  4. matlab中size()函数的用法
  5. go语言byte类型报错cannot use c (type string) as type byte in assignment
  6. winU盘装Linux win32,用win32diskimager制作ubuntu U盘安装盘
  7. 微服务架构中职能团队的划分
  8. Auto-encoder(自编码器)的原理及最新的技术应用(李宏毅视频课整理和总结)
  9. autojs安卓10,11泡椒云提示无法读取imei码解决方案
  10. CSS如何实现内凹角效果