2019-01-10 回答

我这个能实现4~64分频只能是偶数!!!要给分哦。。。。

library ieee;

use ieee.std_logic_1164.all;

entity shukong is

port(t:in std_logic_vector(6 downto 0);

clk:in std_logic;

q:out std_logic);

end ;

architecture one of shukong is

signal shu:integer range 0 to 100;

signal k:std_logic;

begin

process(clk)

begin

if clk'event and clk='1' then

shu<=shu+1;

case t is

when "0000100"=>if shu<1 then q<=k;else shu<=0;k<=not k;end if;

when "0000110"=>if shu<2 then q<=k;else shu<=0;k<=not k;end if;

when "0001000"=>if shu<3 then q<=k;else shu<=0;k<=not k;end if;

when "0001010"=>if shu<4 then q<=k;else shu<=0;k<=not k;end if;

when "0001100"=>if shu<5 then q<=k;else shu<=0;k<=not k;end if;

when "0001110"=>if shu<6 then q<=k;else shu<=0;k<=not k;end if;

when "0010000"=>if shu<7 then q<=k;else shu<=0;k<=not k;end if;

when "0010010"=>if shu<8 then q<=k;else shu<=0;k<=not k;end if;

when "0010100"=>if shu<9 then q<=k;else shu<=0;k<=not k;end if;

when "0010110"=>if shu<10 then q<=k;else shu<=0;k<=not k;end if;

when "0011000"=>if shu<11 then q<=k;else shu<=0;k<=not k;end if;

when "0011010"=>if shu<12 then q<=k;else shu<=0;k<=not k;end if;

when "0011100"=>if shu<13 then q<=k;else shu<=0;k<=not k;end if;

when "0011110"=>if shu<14 then q<=k;else shu<=0;k<=not k;end if;

when "0100000"=>if shu<15 then q<=k;else shu<=0;k<=not k;end if;

when "0100010"=>if shu<16 then q<=k;else shu<=0;k<=not k;end if;

when "0100100"=>if shu<17 then q<=k;else shu<=0;k<=not k;end if;

when "0100110"=>if shu<18 then q<=k;else shu<=0;k<=not k;end if;

when "0101000"=>if shu<19 then q<=k;else shu<=0;k<=not k;end if;

when "0101010"=>if shu<20 then q<=k;else shu<=0;k<=not k;end if;

when "0101100"=>if shu<21 then q<=k;else shu<=0;k<=not k;end if;

when "0101110"=>if shu<22 then q<=k;else shu<=0;k<=not k;end if;

when "0110000"=>if shu<23 then q<=k;else shu<=0;k<=not k;end if;

when "0110010"=>if shu<24 then q<=k;else shu<=0;k<=not k;end if;

when "0110100"=>if shu<25 then q<=k;else shu<=0;k<=not k;end if;

when "0110110"=>if shu<16 then q<=k;else shu<=0;k<=not k;end if;

when "0111000"=>if shu<27 then q<=k;else shu<=0;k<=not k;end if;

when "0111010"=>if shu<28 then q<=k;else shu<=0;k<=not k;end if;

when "0111100"=>if shu<29 then q<=k;else shu<=0;k<=not k;end if;

when "0111110"=>if shu<30 then q<=k;else shu<=0;k<=not k;end if;

when "1000000"=>if shu<31 then q<=k;else shu<=0;k<=not k;end if;

when others =>null;

end case;

end if;

end process;

end ;

8位数控分频器的设计_eda设计数控分频器(实现2~16)分频相关推荐

  1. 如何利用计算机实现非线性转换,基于cass数控绕线机非线性算法的设计与实现-计算机应用技术专业论文.docx...

    基于cass数控绕线机非线性算法的设计与实现-计算机应用技术专业论文 J J Dissertation Dissertation Submitted to Hangzhou D i anz i Uni ...

  2. c语言六位抢答器课程设计,基于c语言单片机8位竞赛抢答器设计课程设计.docx

    基于c语言单片机8位竞赛抢答器设计课程设计 课程设计报告课程名称:单片机课程设计报告题目:8位竞赛抢答器的设计学生姓名:所在学院:信息科学与工程学院专业班级:学生学号:指导教师:2013 年12月25 ...

  3. 单片机拟真电路图软件_基于MSP430单片机设计的高效数控直流电源及其测试方法与流程...

    本发明涉及直流电源 技术领域: ,具体涉及一种基于MSP430单片机设计的高效数控直流电源及其测试方法. 背景技术: :电压电流源是很多电子仪器设备研制的关键设计之一,电源在工作时产生的变动或误差,将 ...

  4. 气动机械手设计,XG916Ⅱ轮式装载机后驱动桥设计,数控机床上下料机械手设计,大直径辊筒双头镗孔专机设计,大直径辊筒双头镗孔专机设计,冲压机床液压控制系统设计,单片机的温度控制系统设计……

    机械手-气动机械手设计 XG916Ⅱ轮式装载机后驱动桥设计 机械手-数控机床上下料机械手设计(论文 CAD图纸 液压图 接线图--) 水平定向钻机孔底钻具的研究数控卧式镗铣床换刀机械手(链式刀库)设计 ...

  5. 《安富莱嵌入式周报》第311期:300V可调节全隔离USB PD电源,开源交流负载分析仪,CANFD Trace,6位半多斜率精密ADC设计,开源数学库

    周报汇总地址:嵌入式周报 - uCOS & uCGUI & emWin & embOS & TouchGFX & ThreadX - 硬汉嵌入式论坛 - Pow ...

  6. 【模拟集成电路】分频器(DIV_TSPC)设计

    分频器(DIV_TSPC)设计 前言 一.DIV工作原理 二.DIV电路设计 (1)32分频原理图 (2)D触发器原理图 (3)D锁存器原理图 (4)三输入与非门原理图 三.DIV仿真测试 32分频器 ...

  7. 8位12指令硬布线CPU设计

    实验f4a 8位12指令硬布线CPU设计 模型机是计算机的缩细模型,通过它可以理解计算机整机的结构及功能,理解CPU.存储器.中断控制器.接口的结构及实现逻辑和各部件之间的接口关系.本次课程设计的主要 ...

  8. c语言开发数控软件编程电源,基于单片机数控电源设计.doc

    基于单片机数控电源设计 1 绪论 在现在的生活中,我们常常会用到各种电源,电源技术服务于各行各业.直流稳压电源是电子技术中常用设备,广泛应用于实验.教学.科研等领域.数控电源一般采用单片机系统来构成. ...

  9. cak数控车床是计算机控制吗,CAK3675数控车床夹盘液压系统的设计(附CAD图纸).doc...

    需全套CAD图纸 联系QQ 648328618各专业都有 中文题目:CAK3675数控车床夹盘液压系统设计 外文题目:THE HYDRAULU SYSTEN DESIGN OF THE CHUCK O ...

最新文章

  1. MXNet 图优化与算子融合
  2. pycharm远程调试或运行代码
  3. 学习和在生产环节使用d语言的三个条件
  4. 【机器学习】Python机器学习的神器- Scikit-learn使用说明
  5. MongoDB- 简单操作命令
  6. 手写tomcat socket closed_【消费电子】:重在阅读,新增手写 BOOX Nova Pro 体验评测...
  7. mac securecrt程序无响应_在Mac上查看和终止进程的方法
  8. OpenCV : 图像数字化
  9. 压力测试工具Siege详解
  10. JVM内存管理--GC算法精解(五分钟教你终极算法---分代搜集算法)
  11. 零基础云开发一个投票小程序
  12. jquery html5关系图,html5 canvas复杂人物关系图设置代码
  13. 黄仁勋口述:英伟达的发展之道和星辰大海
  14. python格式化输出函数之format
  15. 【转】强烈推荐几个新鲜、好玩、另类的小游戏
  16. 升级了谷歌浏览器最新版不习惯,如何降级版本
  17. 20222948 2022-2023-2 《网络攻防实践》第4周作业
  18. word遇到网络地址过长自动换行
  19. 攻防世界 Reverse进阶区 BABYRE WP
  20. DNS欺骗攻击和防护

热门文章

  1. [sql]sqlite3板子上安装运行报错
  2. 重温一遍数据结构之单链表(golang版)
  3. [转] WinForm实现移除控件某个事件的方法
  4. PostgreSQL cheatSheet
  5. Day05 - Python 常用模块
  6. 《理解 ES6》阅读整理:块绑定(Block Binding)
  7. apache 网站跳转至同一页面(可用于当网站在备案时显示告示)
  8. 利用开区间覆盖的约简给出$\bf{Lindelöf}$覆盖定理的一个新证明
  9. 逻辑网拓扑和物理网拓扑——微云网络
  10. 关于MFC单选框的使用临时记录,稍后编辑