1.状态机代码设计与仿真

1.1三角波发生器

代码:

//最简单的状态机,三角波发生器;module tri_gen(clk,res,d_out);input            clk;
input            res;
output[8:0]      d_out;reg              state;//定义主状态机的寄存器;
reg[8:0]         d_out;//将来会在awalys里面赋值,是一个实际的值,所以先定义为reg;299为8位;always@(posedge clk or negedge res)
if(~res) beginstate<=0;d_out=o;
end
else begincase(state)0://上升begind_out<=d_out+1;if(d_out==299) beginstate<=1;endend1://下降begind_out<=d_out-1;if(d_out==1) beginstate<=0;endendendcase
endendmodule

Test bench;

`timescale 1ns/10psmodule tri_gen_tb;reg            clk,res;
wire[8:0]      d_out;tri_gen tri_gen(.clk(clk),.res(res),.d_out(d_out));initial beginclk<=0;res<=0;#17      res<=1;#8000    $stop;
endalways #5 clk<=~clk;endmodule

仿真波形:

2. 学习小结

例化端口又接错了,从代码那边复制过来就没改,导致编译成功,但仿真无法启动。

Verilog学习笔记(7)Modelsim仿真_三角波发生器相关推荐

  1. verilog学习笔记- 4)Modelsim 软件的安装、使用

    目录 Modelsim 的安装: 安装: Modelsim 的使用: 手动仿真: 建立 TestBench 仿真文件: 编译仿真文件: 配置仿真环境: 自动仿真: 选择 EDA 仿真工具: 编写 Te ...

  2. Verilog学习笔记——入门

    Verilog学习笔记 01 基本逻辑门代码设计与仿真 Veriog基本逻辑门代码结构--以一位反相器为例 ModelSim仿真基本流程 02 组合逻辑代码设计与仿真--多路选择器 二选一逻辑--as ...

  3. 【Verilog学习笔记】D触发器(门级和行为级)+4位寄存器+一个完整的激励程序

    [Verilog学习笔记]D触发器(门级和行为级)+4位寄存器+一个完整的激励程序 首先展示以下完整的程序 `timescale 1ns / 1psmodule hardreg( input wire ...

  4. Verilog学习脚印3-简单状态机(三角波)

    Verilog学习脚印3-简单状态机(三角波) 附:verilog语法笔记(持续更新ing) 目录 bash命令 实例1:三角波发生器 电路原理(来自B站-北交李金城老师的PPT,侵删) 代码实现与验 ...

  5. Verilog学习笔记-——Verilog模块例化

    Verilog学习笔记---Verilog模块例化 在一个模块中引用另一个模块,对其端口进行相关连接,叫做模块例化.模块例化建立了描述的层次.信号端口可以通过位置或名称关联,端口连接也必须遵循一些规则 ...

  6. C语言学习笔记第五天_项目训练

    C语言学习笔记第五天_项目训练 添加自定义的一个函数库文件 <getch.h> 步骤: 1.在windows中把getch.h放入共享文件夹(文件我放在文末,自取) 2.在Ubuntu终端 ...

  7. verilog学习笔记之一--(简化)华莱士(Wallace)树形乘法器设计--(原代码出自用芯学项目)

    verilog学习笔记之一–(简化)华莱士(Wallace)树形乘法器设计–(原代码出自用芯学项目) 学习准备1: 树形乘法器原理:参考<数字集成电路-电路.系统与设计(第二版)>–P43 ...

  8. HFSS学习笔记——Vivaldi天线仿真(一)

    HFSS学习笔记--Vivaldi天线仿真(一) Vivaldi天线简介 Vivaldi 天线是一种行波天线,具有端射特性,其导体贴片上开有渐变.非周期性的开槽.其上电流沿槽线分布,不同的工作频率对应 ...

  9. MSP432E401Y学习笔记2-按键控制_查询

    MSP432E401Y学习笔记2-按键控制_查询 前言 一.原理图查看需要控制的IO 二.写代码 1.将点灯的工程拷贝一份 2.配置LED 2.mian文件 前言 今天通过按键控制LED灯的亮灭,按键 ...

  10. Verilog学习笔记

    Verilog学习笔记 本文根据学习菜鸟教程下Verilog教程总结得到,主要记载一些硬件语言描述下的骚操作,仅供学习. 归约操作符 归约操作符包括:归约与(&),归约与非( ~ &) ...

最新文章

  1. [转]重新签名APK文件
  2. python list 换位置_【已解决】Python中把list换成set
  3. C# Excel转换为Json
  4. php 锁的使用场景,抢购秒杀的场景使用锁个人认为不太合理?
  5. faster rcnn 数据格式
  6. GitOps:Kubernetes多集群环境下的高效CICD实践
  7. java 先序遍历_二叉树的前序中序后序遍历(java代码)
  8. 操作系统真实的虚拟内存是什么样的
  9. 计算机硬件配置组件,配置vcenter server的硬件(默认指windows版本的)
  10. 万字长文,一篇文章带你入门Python
  11. 关于结构体数据的读写
  12. 悬赏17万:美国“知乎”的沙雕问题,需要AI来识别
  13. Eclipse下设置github开发环境
  14. 把矩阵变为0,1矩阵
  15. 微运行库2015_【即心修订】[微简]win10专业工作站版64位18363.720全能版+纯净版[驱动/软件/Admin]...
  16. 什么是MES系统?本文解释得很清楚了
  17. CAD几何引擎和图形引擎的关系
  18. 云专网和云专线的区别_什么是云网融合?
  19. Representation Learning with Contrastive Predictive Coding
  20. java多属性的map_java集合(四)Map集合之Properties详解

热门文章

  1. 研发管理学习笔记1-研发管理的目标是什么
  2. 电脑桌面壁纸的尺寸分类
  3. 乔布斯斯坦福大学演讲英文原文
  4. 计算机编程的双引号怎么打,计算机双引号怎么打出来
  5. java约等于符号_markdown常见数学符号和运算
  6. Unity基础案例讲解:创建小型太空射击游戏(一)
  7. 数据库系统概论(高级篇)
  8. mzy git学习,删除文件(三)
  9. 制作字幕.html教程,如何制作电影字幕,视频字幕制作软件|免费给视频加字幕
  10. 奇怪的吃播_快来围观那些奇怪的吃播!!