前言

仅以本帖,记录自己的学习历程及未来的方向。

刚入职做FPGA研发1年,也不知道自己的发展方向。所以,从FPGA接口通信入手,慢慢学习和了解吧。希望自己逐步积累和掌握以下几个方向从业的基础知识,并具有一定的研发能力。

主要方向分以下个方向:

(1)接口通信类:

简单通信接口SPI/UART/IIC,复杂一点的SDR SDRAM控制器设计、DDR3MIG的使用、PCIE控制器、Aurora、千兆以太网通信;

(2)数字信号处理类:FPGA数字信号处理

(3)数字图像处理类:FPGA数字图像处理

(4)通信编码类       :

(5)加密解密算法硬件实现:

学习,从大牛的博客开始!辅助阅读相关专业书籍!

FPGA数字信号处理

推荐博客
作者 博客
1、 FPGADesigner的博客 https://blog.csdn.net/FPGADesigner
2、李锐博恩(Reborn) https://blog.csdn.net/Reborn_Lee
   
推荐书籍
《数字信号处理的FPGA实现》第四版

《数字信号处理――原理、算法与应用(第四版)

[Digital Signal Processing,Fourth Edition]

《数字滤波器的MATLAB与FPGA实现》  

FPGA数字图像处理

推荐博客
作者 博客
1、angelbosj  https://blog.csdn.net/angelbosj?t=1
2、LakersNation  https://blog.csdn.net/lzy272942518?t=1
3、Pieces_thinking的博客 https://blog.csdn.net/pieces_thinking?t=1
4、灰巧克力爱松露    https://blog.csdn.net/shadow_guo
5、fzhykx    https://blog.csdn.net/fzhykx?t=1
6、libing64  https://blog.csdn.net/renshengrumenglibing
7、brank_z    https://blog.csdn.net/a52228254?t=1
8、被选召的孩子  https://blog.csdn.net/archar_saber/article/list/1?t=1&
   
推荐书籍
《数字信号处理的FPGA实现》第四版 《基于FPGA的数字图像处理原理及应用》
《数字图像处理(第三版)冈萨雷斯》  

FPGA相关书籍

不读书,何以进步?!

在FPGA领域相关专业的书籍并不是很多,下面仅是个人整理的一些可以参考阅读的书籍。

下面列举的书籍,大多是工具书,基本就入个门。

若想在一个领域深耕,则需要学习和了解该领域的专业和系统的知识,比如关于加密解密算法,深入学习的话需要掌握信息论、信息论与编码等更加专业知识。

下面的书籍大概分两大类:基础理论指导类 和 工具书。

基础理论指导类:

FPGA新人入门阶段,可以先看看下面三本书,作为FPGA开发中的理论指导。

《Altera FPGA/CPLD设计(基础篇)(第2版)》

《Altera FPGA/CPLD设计(高级篇)(第2版)》

《FPGA快速系统原型设计权威指南》ps:这本书值得看看!

可以参考“《FPGA快速系统原型设计权威指南》读书小结”

工具书类:

工具书,就是你用到的时候,打开看看。

当然,感兴趣的也可以自己业余研究研究,大概了解了解FPGA在不同领域的应用情况。

《FPGA原理和结构》天野英晴 《FPGA实战训练精粹》
《Xilinx FPGA 高速串行传输技术与应用》 《嵌入式高速串行总线技术 基于FPGA实现与应用》
《PCI Express 系统结构标准教材》  
《无线通信FPGA设计》 《典型密码算法FPGA实现》
《数字信号处理的FPGA实现》第四版 《基于FPGA的数字图像处理原理及应用》
《数字图像处理(第三版)冈萨雷斯》 《数字滤波器的MATLAB与FPGA实现》

《数字信号处理――原理、算法与应用(第四版)

[Digital Signal Processing,Fourth Edition]

 
   
《Xilinx FPGA 权威设计指南 基于vivado2018集成开发环境》 《Xilinx FPGA 数字信号处理设计指南 从HDL、simulink到HLS的实现》
《Xilinx ZYNQ-7000嵌入式系统设计与实现》 《Xilinx FPGA开发使用教程》第二版
   
《数字设计和计算机体系结构》第二版 《兼容ARM9的软核处理器设计-基于FPGA》
《TCL/TK入门经典》 《UVM实战》
《The UVM Primer》 《Writing Testbenches using SystemVerilog》
《System Verilog1800-2012语法手册》  
几个常用软件工具
文件比较器 : Beyond compare 文件查找器: everything
   
   

综合类:

作者 博客
1、桔色小西瓜  https://blog.csdn.net/sinat_25326461
2、Snail_Walker https://blog.csdn.net/c602273091?t=1
3、ALIFPGA  https://blog.csdn.net/woshifennu1234?t=1
4、善良的一休君  https://blog.csdn.net/qq_39210023?t=1
5、文鸿开源工作室  https://blog.csdn.net/qq_38376586/article/list/1?
6、 开源骚客  http://dengkanwen.com/
7、OpenFPGA  https://blog.csdn.net/Pieces_thinking/article/details/84930527
8、yf869778412   https://www.cnblogs.com/chengqi521/p/8242183.html
9、长弓的坚持 https://blog.csdn.net/wordwarwordwar
10、肉娃娃 https://www.cnblogs.com/rouwawa/p/9838512.html
11、深海里的杂货铺  https://blog.csdn.net/ncut_nwpu?t=1
   

软件工具:

(1)熟练掌握Microsoft Visual Studio的调试流程,熟练掌握C++语言。

没有学会的,要开始学习。

(2)熟练掌握MATLAB的使用方法,熟悉其编程环境;熟练掌握C语言。

曾经学会的,不能忘记。

(3)熟练掌握Python、TCL软件工具。

(4)熟悉QT开发环境。

几个FPGA开源网站

内容不多介绍,自己随便点开看看吧。

建议,空闲时多浏览浏览一些FPGA相关的最新资讯。

1

OPENCORES.ORG

http//www.opencores.org/browse.cgi/by_category

http://www.opencores.org - 中文

2

FPGAs are fun

http://www.fpga4fun.com/ - 外文

3 http://www.latticesemi.com.cn/ - 中文
4 http://www.epanorama.net/links/fpga.html - 外文
5

EDA Industry Working Groups

http://www.vhdl.org/ - 外文

6

Andraka Consulting Group Home

http://www.andraka.com/

7

http://www.fpga-faq.org/ - 外文

8

https://www.design-reuse.com/

9

http://www.fpgaworld.com/ - 外文

10

http://www.edacafe.com/

11

https://www.fpgajournal.com/

12

http://www.enseirb.fr/~kadionik/embedded/uclinux/nios-uclinux.html - 外文

13

https://www.accellera.org/activities/

14 电子创新网赛灵思社区
15 电子工程世界FPGA论坛
16 我爱方案网
17 开源硬件社区托管项目
18 EETOP
19 联合开发网
20 几个简单的Verilog Examples

最后有一些帖子不错,可以看看。

做FPGA的出路在哪里?——同行的感受,我的方向

一个死磕FPGA 9年的大龄工程师的肺腑之言

>>点击这里返回导航页<<

FPGA学习方向规划相关推荐

  1. 解决问题的经验-javaweb-第一次-已掌握技术栈大致总结(部分),和相关理解,总结,以及对未来学习方向的规划

    学习Javaweb的相关总结以及对未来学习方向的规划:{这很重要} 技术性总结 ** 后端方面 ** 1,基础的jsp+servlet 基础中的基础,但不完全推荐jsp,需要视情况而定 .jsp的九大 ...

  2. 大数据专业就业方向和考研院校及系统学习路线规划

    一.大数据的就业方向: 小标题 一.大数据专业的方向较多,以下是一些常见的方向: 1.数据挖掘与机器学习:主要关注数据的提取.处理.分析和预测,以及机器学习算法的应用. 2.大数据平台与分布式系统:主 ...

  3. FPGA学习及设计中的注意事项

    为什么80%的码农都做不了架构师?>>>    FPGA学习及设计中的注意事项 1.基础问题 FPGA的基础就是数字电路和HDL语言,想学好FPGA的人,建议床头都有一本数字电路的书 ...

  4. FPGA 的布局规划艺术

    FPGA 的布局规划艺术 布局规划是为设计增加布局布线约束的过程.一个大型高速设计的布局规划是实现时序收敛的关键.好的布局规划可以大大提高设计性能,并确保设计结果的质量.差的布局规划具有相反的效果,使 ...

  5. 小梅哥FPGA学习笔记——开发流程及仿真示例

    开发流程及仿真示例 FPGA整体设计开发流程 1. 设计定义 2. 设计输入(Quartus II) 3. 分析和综合(Quartus II) 4. 功能仿真(modelsim-altera/mode ...

  6. FPGA学习之路—应用程序—基于Verilog设计单总线8位ALU

    FPGA学习之路--基于Verilog设计单总线8位ALU 定义 ALU(arithmetic and logic unit) 算术逻辑单元,简称ALU,是计算机的数学运算核心,也就是负责运算的组件, ...

  7. 网络安全工程师零基础入门:(企业级)从入门到精通学习路线规划,学完即可就业

    前言 最近总能在网上看到很多诸如:"怎样成为网络信息安全工程师"等相关问题,这可能与近几年网络安全事件频发,国家对于互联网信息安全和互联网舆情的重视程度不断提升有关,似乎网络信息安 ...

  8. [笔记]|[FPGA]|[寄存器]|[模拟信号数字信号]《FPGA学习之道》学习笔记(1)

    [笔记]|[FPGA]|[寄存器]|[模拟信号数字信号]<FPGA学习之道>学习笔记(1) 笔记为笔者学习狄超所著**<FPGA之道>**时所写 –仅作为自己后续查看的笔记 文 ...

  9. 入行10年后,我总结了这份FPGA学习路线

    最近我收到很多同学的提问,让我介绍一下FPGA怎么学习.怎么进阶,有什么推荐的材料或者学习资源. 在给我留言和私信的朋友里,有在校的大学生,需要学FPGA做数电实验.参加竞赛.进实验室,或者只求水过这 ...

最新文章

  1. oracle调用存储过程
  2. 怎么把本地的项目同时提交到两个仓库
  3. wkWebView 的一些问题
  4. VMware ubuntu 虚拟机只剩下lo回环网卡,上不了网(无法上网、无法连接网络)(环回)
  5. JMeter入门(03)多台JMeter联合测试
  6. C++学习笔记-----std::string的=,+,+=对int,char类型操作数的支持
  7. MyBatis 架构分层与模块划分-接口层
  8. 1核1g服务器php,虚拟主机1核1g什么意思
  9. 与gps优缺点_浅谈用于洒水车上的液位传感器和GPS模块
  10. grideh SelectedRows Bookmark
  11. python实现汉诺塔(递归)
  12. linux系统刷机教程,在 Linux 刷 BIOS
  13. j2ee是什么?(1)
  14. 计算机应用高级教程,大学计算机应用高级教程(第3版)简介,目录书摘
  15. CF 1715 D. 2+ doors 位运算 1900
  16. source insight
  17. c++生成随机数详解(包含可执行代码)
  18. 工信部通报今年首批问题App 当当、1药网私自收集个人信息 被通报的16款App名单
  19. ArcGIS10.5安装时提示localhost没有有效管理许可怎么办
  20. P41-前端基础-clear解决受浮动元素的影响而改变位置

热门文章

  1. 旧瓶新酒之ngx_lua fail2ban实现主动诱捕
  2. Android小闹钟程序【安卓进化十三】
  3. 2020链家杭州二手房数据分析(截止到2020年09月07日)
  4. 推荐8部好看的抗日电视剧
  5. 免费C++库 - Emacser
  6. 三句话的原则-看人长处-帮人难处-记人好处
  7. 汇报工作,被CTO怼了!让我读下麦肯锡的:结构化思考、电梯间汇报法、MECE法则!...
  8. upstream与proxy_pass实现反向代理配置教程
  9. 米饭里加点它,4大病症全没了!一定要告诉家里做饭的TA
  10. 2019快手校招面试题循环小数