前言

本文节选UG474进行整理翻译,用于对CLB进行简单介绍,同时简单介绍了下针对逻辑资源设计的推荐流程。

CLB简介

7 系列可配置逻辑块 (CLB) 提供先进的高性能 FPGA 逻辑:

  • 真6 输入查找表 (LUT) 技术
  • 双 LUT5(5 输入 LUT)选项
  • 分布式存储器和移位寄存器逻辑功能
  • 用于算术功能的专用高速进位逻辑
  • 用于有效利用的宽多路复用器

CLB 是实现顺序电路和组合电路的主要逻辑资源。 每个 CLB 元素都连接到一个开关矩阵,用于访问通用路由矩阵。 CLB 元素包含一对切片。

7 系列 FPGA 中的 LUT 可配置为具有一个输出的 6 输入 LUT,或配置为具有独立输出但公共地址或逻辑输入的两个 5 输入 LUT。 每个 5 输入 LUT 输出可以选择在触发器中寄存。 四个这样的 6 输入 LUT 及其八个触发器以及多路复用器和算术进位逻辑形成一个 slice,两个 slice 形成一个 CLB。 每个切片四个触发器(每个 LUT 一个)可以选择配置为锁存器。 在这种情况下,该片中剩余的四个触发器必须保持未使用状态。

7 系列 CLB 特性

7 系列 CLB 与 Virtex-6 FPGA 系列中的 CLB 相同。 CLB 与 Spartan-6 FPGA 系列的 CLB 非常相似,但有以下区别:

  • 列式架构

    • 轻松扩展到更高的密度
    • CLB 之间的更多路由
  • 仅 SLICEL 和 SLICEM(无 Spartan-6 FPGA SLICEX)
    • 所有切片都支持进位逻辑
    • 更多优化

CLB 结构中的通用特性简化了从 Spartan-6 和 Virtex-6 系列到 7 系列器件的设计迁移。 独特的平面布置图意味着在实施最初针对早期 FPGA 的设计之前应消除位置限制。 与 Virtex-6 FPGA 系列相比,互连布线资源在尺寸、数量和灵活性上都有所增加,从而提高了自动布局布线结果的质量。

设备资源

CLB 资源可在所有 7 系列系列中进行扩展,提供可提高效率、IP 实施和设计迁移的通用架构。 CLB 的数量以及 CLB 与其他设备资源的比例区分了 7 系列系列。 7 系列系列之间的迁移不需要对 CLB 进行任何设计更改。

器件容量通常以逻辑单元来衡量,逻辑单元相当于经典的四输入 LUT 和触发器。 7 系列 FPGA CLB 六输入 LUT,丰富的触发器和锁存器,进位逻辑,以及在 SLICEM 中创建分布式 RAM 或移位寄存器的能力,增加了有效容量。 逻辑单元和 6 输入 LUT 的数量之比为 1.6:1。

推荐的设计流程

CLB 资源是针对通用设计逻辑推断的,不需要实例化。 好的 HDL 设计就足够了。 需要注意的一些事项:

  • CLB 触发器具有置位或复位。 设计者不能同时使用 set 和 reset。
  • Flip-flops 很丰富。 应该考虑使用流水线来提高性能。
  • 控制输入在片或CLB 之间共享。 应尽量减少设计所需的独特控制输入的数量。 控制输入包括时钟、时钟使能、设置/复位和写使能。
  • 6 输入 LUT 可用作 32 位移位寄存器以实现高效。
  • 6 输入 LUT 可用作 64 x 1 存储器以满足小型存储要求。
  • 专用进位逻辑有效地实现算术功能。

这些步骤表明了推荐的设计流程:

  1. 使用首选方法(HDL、IP 等)实施设计。
  2. 评估利用率报告以确定使用的资源。
  3. 考虑使用触发器。
    1. 为了提升性能使用流水线操作。
    2. 在专用资源(块 RAM、DSP)的输出端使用专用触发器。
    3. 允许移位寄存器使用 SRL(避免设置/重置)
  4. 尽量减少设置/重置的使用。

reference

  1. UG474

FPGA - 7系列 FPGA内部结构之CLB -01- CLB资源概述相关推荐

  1. FPGA - 7系列 FPGA内部结构之Clocking -03- 时钟管理模块(CMT)

    前言 本文节选UG472的第三章,进行整理翻译,用于介绍7系列 FPGA的时钟管理模块的内部结构以及相关用法.本文介绍的CMT在FPGA开发设计中是比较重要的一个内容,因此文章篇幅也相对较长. 文章目 ...

  2. FPGA - 7系列 FPGA内部结构之Clocking -02- 时钟布线资源

    前言 本文节选UG472的第二章,进行整理翻译,用于介绍7系列 FPGA的时钟布线资源. 文章目录 前言 时钟布线资源概述 时钟缓冲器选择注意事项 时钟功能输入 单个时钟驱动单个 CMT 单个时钟驱动 ...

  3. FPGA - 7系列 FPGA内部结构之Memory Resources -03- 内置纠错功能

    内置纠错概述 使用 72 位宽 RAM 中的额外 8 位,简单双端口模式下的 RAMB36E1 可配置为具有内置汉明码纠错功能的单个 512 x 64 RAM. 该操作是透明的. 在每次写操作期间会生 ...

  4. MIPI摄像头工程=7系列FPGA + OV5640(MIPI) + 15 分钟 + VITIS

    项目使用东西 硬件 Spartan-7 SP701 FPGA 7系列FPGA+电阻网络实现的MIPI接口 OV5640 MIPI接口 软件 AMD Vivado 2020 版本以上 AMD Vitis ...

  5. Virtex-6系列FPGA的CLB

    Virtex-6系列FPGA Virtex-6是Xilinx 在2009年2月推出的新一代旗舰产品,采用了第三代Xilinx ASMBL架构.40nm 工艺,提供多达760000 个逻辑单元,为业界成 ...

  6. Xilinx-7系列FPGA架构—— CLB

    xilinx7系列FPGA主要包括:Spartan®-7/Artix®-7/Kintex®-7/Virtex®-7.其性能/密度/价格也随着系列的不同而提升.其中以Virtex-7有着极高的系统性能和 ...

  7. Xilinx 7系列FPGA中CLB结构和内部资源使用

    Xilinx 7系列FPGA中CLB结构和内部资源使用 7系列FPGA内部资源更加的更富,性能更强悍,最吸引人的就是28nm工艺使得相同的逻辑资源功耗降低50%,这是一个很大的提升,在单板硬件上仅仅降 ...

  8. Xilinx 7系列 FPGA CLB资源介绍

    赛灵思 7系列 FPGA CLB资源介绍 ---主要来源于官方技术手册ug474_7Series_CLB.pdf FPGA共有6大组成部分:1.可编程输入输出I/O单元:2.基本可编程逻辑单元CLB: ...

  9. Xilinx 7系列FPGA PCB设计指导(二)

    引言:我们继续介绍FPGA PCB设计相关知识,本章介绍7系列FPGA的配电系统(PDS),包括去耦电容器的选择.放置和PCB几何结构,并为每个7系列FPGA提供了一种简单的去耦方法.另外,还介绍了P ...

最新文章

  1. 全面分析再动手的习惯:链表的反转问题(递归和非递归方式)
  2. 【 C 】高级指针话题之高级声明的演进
  3. AutoHotKey Script AHK脚本++Topre Realforce 104Pro十周年纪念版静电容键盘
  4. Python机器学习基础教程-第2章-监督学习之K近邻
  5. 使用MEF构建可扩展的Silverlight应用
  6. nas存储如何做远程服务器数据备份_备份数据?7 个理由告诉你为什么要用 NAS,而不用移动硬盘...
  7. Redis Cluster集群的搭建与实践[转]
  8. 罗马数字和阿拉伯数字转换
  9. 在线教育市场为何因“最强雾霾”得利?
  10. DC-DC LLC转换器 matlab simulink仿真显示了一个DC-DC LLC功率转换器与频率控制
  11. 删除右键菜单中的 “上传到wps云文档”
  12. guid主分区表损坏如何处理_恢复guid分区表【免费找回技巧与步骤】
  13. android 圆形背景文字,android圆形图片,圆形背景文字的CircleTextImageView开源组件
  14. React路由管理 —— React Router 总结
  15. 优思学院|六西格玛的真理
  16. 在线点餐系统(SSM毕业设计)
  17. 各种中文乱码解决大全
  18. Ubuntu 安装Eclipse
  19. Bpmn(业务流程模型)文档和在线Demo整理
  20. Mac系统快捷键-持续更新

热门文章

  1. vue项目中数据更新页面不刷新问题
  2. 传智播客就是牛人培养牛人的地方!
  3. 【投资心经】一位资深操盘手的吐血总结
  4. HTTP 错误 404.17 - Not Found 请求的内容似乎是脚本,因而将无法由静态文件处理程序来处理
  5. Ruby/Rails学习教程-Hello Ruby
  6. 关于修复LSP解决WV虚拟机黑屏
  7. 戴尔服务器加显卡显示器不亮,电脑换显卡显示器不亮的原因和解决方法
  8. cadworx管道设计基础到精通教程
  9. 获取新榜微信公众号指数信息,并服务器上部署
  10. UR10机械臂+Realsense手眼标定