这篇论文作者在github上有相对详细的指导。

一、搭建环境

1.在linux下安装miniconda,已经做好了。

2.创建一个新的conda环境,命名为python38,基于python3.8以及一些其他的包。注意拼写

conda create -n python38 python=3.8 numpy tensorflow=2.3.0 h5py lxml

3.激活这个新环境

conda activate python38

4.在补充安装一些包

(python38)$ pip3 install pyshark sklearn

5.还要安装tshark,发现安装的版本过高,又进行卸载重装

apt-get install tsharkapt-get remove tsharkwget http://ftp.uni-kl.de/pub/wireshark/src/all-versions/wireshark-3.2.13.tar.xz

二、流量预处理

参考:R. Doriguzzi-Corin, S. Millar, S. Scott-Hayward, J. Martínez-del-Rincón and D. Siracusa, "Lucid: A Practical, Lightweight Deep Learning Solution for DDoS Attack Detection," in IEEE Transactions on Network and Service Management, vol. 17, no. 2, pp. 876-889, June 2020, doi: 10.1109/TNSM.2020.2971776.

论文复现-《LUCID: A Practical, Lightweight Deep Learning Solution for DDoS Attack Detection》相关推荐

  1. java 万年历绪论,基于FPGA的万年历设计

    基于FPGA的万年历设计(论文15000字) 摘要:万年历在人们的生活中一直扮演着重要的角色,可随着时代的发展,传统的纸质万年历已经越来越无法满足人们对于时间精确度以及便捷性的要求.随着电子科学的不断 ...

  2. 基于FPGA的FFT设计

    基于FPGA的FFT设计 1.verilog源代码还有实验报告 2.FFT的主要算法 FFT算法并不是一种新的理论算法,它只是用来计算DFT的快速算法,所以它是以DFT为基础的.本课题采用的是基-2 ...

  3. 基于 FPGA Vivado 信号发生器设计(附源工程)

    今天给大侠带来基于 FPGA Vivado 信号发生器设计,开发板实现使用的是Digilent basys 3,如有想要入手 basys 3 开发板的,可以联系牛总:18511371833.话不多说, ...

  4. 频谱仪设计基于FPGA的频谱仪设计,可以测试分析多种频率的频谱,分辨率100HZ

    频谱仪设计基于FPGA的频谱仪设计,可以测试分析多种频率的频谱,分辨率100HZ,配套资料多达100M,东西复杂 ID:982500594354361311卡哇伊2号小宝贝

  5. 基于 FPGA Vivado 示波器设计(附源工程)

    今天给大侠带来基于 FPGA Vivado 示波器设计,开发板实现使用的是Digilent basys 3,如有想要入手 basys 3 开发板的,可以联系牛总:18511371833.话不多说,上货 ...

  6. FPGA项目(12)——基于FPGA的万年历设计

    首先称述一下所实现的功能:可以显示年.月.日.时.分.秒,有闹钟设置功能,闹钟时间到时,蜂鸣器响,报警.用6位数码管进行显示,分三个显示页面,第一个页面显示年月日,第二个界面显示时分秒,第三个页面显示 ...

  7. 基于fpga的数码管动态扫描电路设计_【至简设计案例系列】基于FPGA的密码锁设计(altera版)...

    秦红凯 明德扬FPGA科教 一.项目背景概述 随着生活质量的不断提高,加强家庭防盗安全变得非常重要,但传统机械锁的构造过于简单,很容易被打开,从而降低了安全性.数字密码锁因为它的保密性很高,安全系数也 ...

  8. 【示波器】基于FPGA的数字示波器设计实现

    1.软件版本 quartusii 2.本算法理论知识 框图结构: 1.缓存里包含有触发控制和触发存储器: 2.由设计指标需要1GsPs的采样率,最后的数据流要降到到250MbPs: 3.从指标来看从波 ...

  9. 【至简设计案例系列】基于FPGA的密码锁设计(altera版)

    本文为明德扬原创及录用文章,转载请注明出处! 作者:秦红锴 一.项目背景概述 随着生活质量的不断提高,加强家庭防盗安全变得非常重要,但传统机械锁的构造过于简单,很容易被打开,从而降低了安全性.数字密码 ...

  10. android五子棋论文,基于Android五子棋的设计与实现论文.doc

    . PAGE . 总 目 录 毕业设计(论文) 指导老师评语表 评阅人评阅表 答辩记录表 答辩委员会评语表 承 诺 书 我谨此郑重承诺: 本毕业设计(论文)是本人在指导老师指导下独立撰写完成的.凡涉及 ...

最新文章

  1. module.exports 和 export default
  2. Delphi与JAVA互加解密AES算法
  3. 新手学电脑入门教程_炒股技巧大全丨炒股新手怎样才能长期稳定盈利
  4. 解决maven下载jar慢的问题(如何更换Maven下载源)
  5. 高通linux内核目录,高通 android 源代码以及目标系统目录结构
  6. async function_Electron IPC 通信如何使用 async/await 调用?
  7. java修改hidden_Java ColumnConfig.setHidden方法代码示例
  8. 【C语言】判断花括号{}是否匹配
  9. 2012年第一个工作日
  10. 这些常见的分布式存储系统,你是否都了解?
  11. Java Exceptions
  12. php 替换所有中文为空,php 匹配替换中文
  13. python 基本数据类型之列表
  14. 操纵浏览器的历史记录
  15. pycharm 怎么快速生成文件夹结构_Pycharm配置Qt工具(ubuntu18.04)
  16. 给惠普735g5 装Win10+Ubuntu 16.04双系统
  17. Unity手册-Graphics -Tier
  18. dat格式文件转shp
  19. 包包各部位名称图解_手袋各部位名称及详解
  20. Layui前端框架教程_layui视频教程_Layui使用教程

热门文章

  1. 海康设备网络SDK开发NET_DVR_GetDeviceConfig
  2. 2022/1/17 位运算
  3. mysql的配置文件的各项参数意思
  4. Echarts中国地图背景颜色渐变
  5. 人大金仓助力广东省首届信创职业技能竞赛圆满完成
  6. 如何选择最佳域名(14个技巧和工具)
  7. aardio - 阴影渐变文字
  8. Sqoop导入Hbase案例实战
  9. 网络音乐api接口,歌词api接口,免费
  10. java高并发程序设计1-线程停下来(stop,wait,suspend,await,interrupt,join,yield,sleep)的操作