练习题目二
素数判断
A prime number is a natural number which has exactly two distinct natural number divisors: 1 and itself. For example, the first four prime numbers are: 2, 3, 5 and 7.

Write a program which reads a list of N integers and prints the number of prime numbers in the list.

Input
The first line contains an integer N, the number of elements in the list.

N numbers are given in the following lines.

Output
Print the number of prime numbers in the given list.

Constraints
1 ≤ N ≤ 10000

2 ≤ an element of the list ≤ 108

Sample Input 1
5
2
3
4
5
6
Sample Output 1
3
Sample Input 2
11
7
8
9
10
11
12
13
14
15
16
17
Sample Output 2
4

运行代码

#include<iostream>
using namespace std;
int main()
{int n;cin>>n;int b=0,m,count;for(int i=0;i<n;i++){cin>>m;count=1;for(int i=2;i<m;i++){if(m%i==0)count=0;break;}if(count==1&&m!=1)b++;}  cout<<b;return 0; }

题目思考
所谓素数既是定义为在大于1的自然数中,除了1和它本身以外不再有其他因数。所以我使用嵌套循环,使他除以2到自己本身减1的所有数,若都除不尽,则素数数量+1,。
错误及调试
第一次编写好程序进行运行,运行结果出错如图。

所以我进行调试,在调试过程中,我发现不论if里的条件成立与否,break都会运行,所以导致程序出错,调试如图。

所以,错误原因既是在if后,没有打出{ }导致if条件只对第一条代码生效,因此我修改代码后,程序运行正确。

转载于:https://www.cnblogs.com/xiaofengqaq/p/10554935.html

#C++初学记录(素数判断)相关推荐

  1. python求素数因子_Python入门教程: 素数判断与素因子分解

    好了, 我们继续挑战下Python入门编程, 如何判断一个数是素数?以及如何分解一个合数? 首先回忆下:素数就是大于1且除了1和它本身之外没有其他素因子.大于1的非素数称为合数.形如F_n=2^2^n ...

  2. FPGA初学记录——数字时钟系统搭建(上)

    FPGA初学记录--数字时钟系统搭建(上) 野火征途Pro开发板教程--数码管动态展示拓展训练,数字时钟系统搭建 文章目录 FPGA初学记录--数字时钟系统搭建(上) 前言 一.问题简述 二.功能解析 ...

  3. 素数判断的几种方法代码实现及其复杂度分析

     素数判断的几种方法代码实现及其复杂度分析  原文链接:http://blog.csdn.net/infinitezhen/article/details/8961964 一. 朴素判断素数 根据素数 ...

  4. c语言判断素数squ,poj1811——Prime Test//素数判断+整数分解因子

    题意:给定N,如果N为素数,输出"Prime",否则输出其最小因子. 思路:用miller_rabin判断素数,pollardRho用于整数因子的分解.整数因子分解还有一个更快的算 ...

  5. 7-5 素数判断 (10 分)

    7-5 素数判断 (10 分) 输入一个正整数,输出其是否为素数. 输入样例: 15 结尾无空行 输出样例: NO 结尾无空行 输入样例: 53 结尾无空行 输出样例: YES 结尾无空行

  6. VB案例:素数判断与合数分解

    VB案例:素数判断与合数分解   判断一个正整数是否是素数,如果是合数,那么分解成质因数乘积形式.   一.运行效果 单击[判断]按钮:   单击[判断]按钮:   二.涉及知识点 1.窗体 2.标签 ...

  7. 最优的素数判断代码(Python)是这样写出来的

    素数判断是个很经典的问题,各种语言的程序设计课程都会涉及到,按照素数定义(除了1和自身,素数没有其他因数)很容易写出下面的代码: def isPrime1(n): for i in range(2, ...

  8. 7-5 sdut-验证“哥德巴赫猜想” (10 分)(优化素数判断)

    著名的"哥德巴赫猜想"的大致意思是:任何一个大于2的偶数总能表示为两个素数之和.比如:24=5+19,其中5和19都是素数.本实验的任务是设计一个程序,验证20亿以内的偶数都可以分 ...

  9. 质数与合数系列——素数判断

    #include <iostream> #include <cmath> using namespace std; int main() {int n,i;while (1){ ...

最新文章

  1. ASP .NET Core Web Razor Pages系列教程四:使用数据库进行交互(SqlServer 版)
  2. excel如果包含某个字就显示_Excel公式基础知识
  3. 图灵2011年6月书讯【误区】【软件调试修炼之道】即将上市
  4. ASP.NET网页显示LED字体
  5. 四则运算 结对编程
  6. spring 配置只读事务_只读副本和Spring Data第3部分:配置两个实体管理器
  7. Visual C# 2008+SQL Server 2005 数据库与网络开发--13.1.1 菜单创建
  8. nextdate函数白盒测试问题 软件测试_软件测试基本常识
  9. k8s mysql 弹性_kubernetes云平台管理实战:k8s弹性伸缩(十八)
  10. 从0开始学习 GitHub 系列之「Git 速成」
  11. Spring2.5注解事务配置
  12. Pandas 获取列名
  13. Spark:reduceByKey与groupByKey进行对比
  14. verilog 实现32位加法器(超前进位)
  15. 网页出现503 service unavailable是什么意思?怎么解决?
  16. java.exe内存占用高_windows java.exe内存暴涨解决、idea跑java\ tomcat内存无限增长
  17. SRI-Subresource Integrity
  18. Leetcode困难之1225.报告系统状态的连续日期
  19. 转型产业互联网,新氧能否再造辉煌?
  20. Ubuntu制作本地软件源

热门文章

  1. Linux CentOS7 下安装 TeamViewer
  2. python pygame sdl2教程_无法安装pygame sdl2
  3. 计算机出现假桌面怎么解决办法,Win10系统桌面频繁假死的解决方法
  4. idea 代码编译打包不报错,但是代码显示报错
  5. 微服务内部方法调用方式
  6. IDEA下查看Java字节码(插件ByteCode Viewer)
  7. oracle删除数据释放表空间流程
  8. essential c++源码_Goldenmask - 一键化保护你的 Python 源码
  9. 让一让,神州泰岳要进化了
  10. 设置电脑系统密码以及桌面密码