数字系统有两大类有限状态机(Finite State Machine,FSM):Moore状态机和Mealy状态机。

Moore状态机

  其最大特点是输出只由当前状态确定,与输入无关。Moore状态机的状态图中的每一个状态都包含一个输出信号。这是一个典型的Moore状态机的状态跳转图,x、y、z是输入,a、b、c是输出。

    

Mealy状态机

  它的输出不仅与当前状态有关系,而且与它的输入也有关系,因而在状态图中每条转移边需要包含输入和输出的信息。

状态编码

  数字逻辑系统状态机设计中常见的编码方式有:二进制码(Binary码)、格雷码(Gray码)、独热码(One-hot码)以及二一十进制码(BCD码)。

  格雷码的特点:相邻的两个码组之间仅有一位不同。

普通二进制码与格雷码之间可以相互转换。

  二进制码转换为格雷码:从最右边一位起,一次与左边一位“异或”,作为对应格雷码该位的值,最左边的一位不变(相当于最左边是0)。

  格雷码转换为二进制码:从左边第二位起,将每一位与左边一位解码后的值“异或”,作为该解码后的值(最左边的一位依然不变)。

  独热码又分为独热1码和独热0码,是一种特殊的二进制编码方式。当任何一种状态有且仅有一个1时,就是独热1码,相反任何一种状态有且仅有一个0时,就是独热0码。

状态机的描述

  状态机有三种描述方式:一段式状态机、两段式状态机、三段式状态机。下面就用一个小例子来看看三种方式是如何实现的。

  (各种图片,各种坑爹啊 - -!)

一段式状态机

  当把整个状态机卸载一个always模块中,并且这个模块既包含状态转移,又含有组合逻辑输入/输出时,称为一段式状态机。不推荐采用这种状态机,因为从代码风格方面来讲,一般都会要求把组合逻辑和时序逻辑分开;从代码维护和升级来说,组合逻辑和书序逻辑混合在一起不利于代码维护和修改,也不利于约束。

 1 //一段式状态机来实现:在异步复位信号的控制下,一段式状态机进入IDLE 2 //状态,q_sig4被复位,一旦sig1或者sig2有效,状态机进入WAIT状态,如果 3 //sig1和sig2同时有效,那么状态机进入DONE状态, 4 //如果sig4还有效,那么q_sig4置位,同时状态机进入IDLE状态。 5  6 module one_seg_fsm(clk,reset,sig1,sig2,sig3,q_sig4,q_sm_state); 7 //数据声明部分 8 input clk,reset,sig1,sig2,sig3; 9 10 output reg       q_sig4;11 output reg [1:0] q_sm_state;12 13 //参数声明14 parameter  IDLE       = 2'b00;15 parameter  WAIT       = 2'b01;16 parameter  DONE       = 2'b10;17 18 //状态跳转逻辑程序设计19 always @(posedge clk or posedge reset)20   begin21       if(reset)22       begin23           q_sig4     <= 0;24           q_sm_state <= IDLE;25       end26     else 27         begin28             case(q_sm_state) 29                   IDLE: begin30                              if(sig1 || sig2)31                                   begin32                                       q_sm_state <= WAIT;33                                       q_sig4 <= 1'b0;34                                   end35                                  else36                                      begin37                                          q_sm_state <= IDLE;38                                          q_sig4 <= 1'b0;39                                    end40                           end41                   WAIT: begin42                             if(sig2 && sig3)43                                 begin44                                     q_sm_state <= DONE;45                                     q_sig4     <= 1'b0;46                               end47                             else48                                 begin49                                     q_sm_state <= WAIT;50                                     q_sig4     <= 1'b0;51                               end52                          end       53                                     54                   DONE:begin55                            if(sig3)56                                begin57                                    q_sm_state <= IDLE;58                                    q_sig4     <= 1'b1;59                                end60                            else61                                begin62                                    q_sm_state <= DONE;63                                    q_sig4     <= 1'b0;64                                end65                           end66                    67                 default: begin68                              q_sm_state <= IDLE;69                              q_sig4     <= 0;70                            end71           endcase   72     end73   end74 endmodule                                 

两段式状态机

  所谓的两段式状态机就是采用一个always语句来实现时序逻辑,另外一个always语句来实现组合逻辑,提高了代码的可读性,易于维护。不同于一段式状态机的是,它需要定义两个状态----现态和次态,然后通过现态和次态的转换来实现时序逻辑。

 1 //本例主要采用两段式状态机:在异步复位信号的控制下,一段式状态机进入IDLE 2 //状态,q_sig4被复位,一旦sig1或者sig2有效,状态机进入WAIT状态,如果sig1和sig2同时有效,那么 3 //状态机进入DONE状态,如果sig4还有效,那么q_sig4置位,同时状态机进入IDLE状态。 4  5 module two_seg_fsm(clk,reset,sig1,sig2,sig3,q_sig4); 6 //数据声明部分 7 input clk,reset,sig1,sig2,sig3; 8  9 output reg       q_sig4;10 11 reg [1:0]    current_state, next_state;12 13 //参数声明14 parameter  IDLE       = 2'b00;15 parameter  WAIT       = 2'b01;16 parameter  DONE       = 2'b10;17 18 //状态跳转程序设计19 always @(posedge clk or posedge reset)20  if(reset)21      current_state <= IDLE;22  else23      current_state <= next_state;24      25 //状态逻辑输出26 always @(current_state or sig1 or sig2 or sig3)27   begin28       case(current_state)29       IDLE: begin30                              if(sig1 || sig2)31                                   begin32                                       next_state = WAIT;33                                       q_sig4    = 1'b0;34                                   end35                                  else36                                      begin37                                          next_state = IDLE;38                                          q_sig4     = 1'b0;39                                    end40                           end41                   WAIT: begin42                             if(sig2 && sig3)43                                 begin44                                     next_state = DONE;45                                     q_sig4     = 1'b0;46                               end47                             else48                                 begin49                                     next_state = WAIT;50                                     q_sig4     = 1'b0;51                               end52                          end       53                                     54                   DONE:begin55                            if(sig3)56                                begin57                                    next_state = IDLE;58                                    q_sig4     = 1'b1;59                                end60                            else61                                begin62                                    next_state = DONE;63                                    q_sig4     = 1'b0;64                                end65                           end66                    67                 default: begin68                              next_state = IDLE;69                              q_sig4     = 0;70                            end71           endcase   72     73   end74 endmodule       

三段式状态机

  三段式状态机与两段式状态机的区别:两段式直接采用组合逻辑输出,而三段式则通过在组合逻辑后再增加一级寄存器来实现时序逻辑输出。这样做的好处是可以有效地滤去租个逻辑输出的毛刺,同时可以有效地进行时序计算与约束,另外对于总线形式的输出信号来说,容易使总线数据对其,从而减小总线数据间的偏移,减小接收端数据采样出错的频率。

  三段式状态机的基本格式是:第一个always语句实现同步状态跳转;第二个always语句实现组合逻辑;第三个always语句实现同步输出。

 1 //本例主要采用三段式状态机:在异步复位信号的控制下,一段式状态机进入IDLE 2 //状态,q_sig4被复位,一旦sig1或者sig2有效,状态机进入WAIT状态,如果sig1和sig2同时有效,那么 3 //状态机进入DONE状态,如果sig4还有效,那么q_sig4置位,同时状态机进入IDLE状态。 4  5 module three_seg_fsm(clk,reset,sig1,sig2,sig3,q_sig4); 6 //数据声明部分 7 input clk,reset,sig1,sig2,sig3; 8  9 output reg       q_sig4;10 11 reg [1:0]    current_state, next_state;12 13 //参数声明14 parameter  IDLE       = 2'b00;15 parameter  WAIT       = 2'b01;16 parameter  DONE       = 2'b10;17 18 //状态跳转程序设计19 always @(posedge clk or posedge reset)20  if(reset)21      current_state <= IDLE;22  else23      current_state <= next_state;24      25 //状态跳转输出26 always @(current_state or sig1 or sig2 or sig3)27   begin28       case(current_state)29       IDLE: begin30                              if(sig1 || sig2)31                                   begin32                                       next_state = WAIT;                                      33                                   end34                                  else35                                      begin36                                          next_state = IDLE;                                         37                                    end38                           end39                   WAIT: begin40                             if(sig2 && sig3)41                                 begin42                                     next_state = DONE;                                    43                               end44                             else45                                 begin46                                     next_state = WAIT;                                    47                               end48                          end       49                                     50                   DONE:begin51                            if(sig3)52                                begin53                                    next_state = IDLE;                                   54                                end55                            else56                                begin57                                    next_state = DONE;                                   58                                end59                           end60                    61                 default: begin62                              next_state = IDLE;                             63                            end64           endcase       65   end66   67   //逻辑输出68   always @(posedge clk or posedge reset)69     if(reset)70         q_sig4 <= 1'b0;71     else72         begin73             case(next_state)74                 IDLE,75                 WAIT: q_sig4 <= 1'b0;76                 DONE: q_sig4 <= 1'b1;77                 default: q_sig4 <= 1'b0;78           endcase79         end80         81 endmodule                       

转载于:https://www.cnblogs.com/lifan3a/articles/4582785.html

FPGA 状态机设计相关推荐

  1. 基于FPGA状态机设计实现EtherCAT从站

    0 引言 EtherCAT是由BECKHOFF提出的在工业控制领域获得广泛应用的现场总线之一,该总线具备全双工工作模式,可基于主站(Master)和从站(Slave)连接的模式实现数据传递,且具有低延 ...

  2. (32)FPGA米勒型状态机设计(三段式)(第7天)

    (32)FPGA米勒型状态机设计(三段式)(第7天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA米勒型状态机设计(三段式)(第7天) 5)技术交流 6 ...

  3. (31)FPGA米勒型状态机设计(二段式)(第7天)

    (31)FPGA米勒型状态机设计(二段式)(第7天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA米勒型状态机设计(二段式)(第7天) 5)技术交流 6 ...

  4. (30)FPGA米勒型状态机设计(一段式)(第6天)

    (30)FPGA米勒型状态机设计(一段式)(第6天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA米勒型状态机设计(一段式)(第6天) 5)技术交流 6 ...

  5. (29)FPGA摩尔型状态机设计(第6天)

    (29)FPGA摩尔型状态机设计(第6天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA摩尔型状态机设计(第6天) 5)技术交流 6)参考资料 2 FP ...

  6. 状态机设计的一般步骤_浅谈状态机

    来源:公众号[ZYNQ]ID   :FreeZynq 整理 :李肖遥 本文目录 前言 状态机简介 状态机分类 Mealy 型状态机 Moore 型状态机 状态机描述 一段式状态机 二段式状态机 三段式 ...

  7. (51)FPGA状态机描述(四段式)

    (51)FPGA状态机描述(四段式) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA状态机描述(四段式) 5)技术交流 6)参考资料 2 FPGA入门与提升 ...

  8. (50)FPGA状态机描述(三段式)

    (50)FPGA状态机描述(三段式) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA状态机描述(三段式) 5)技术交流 6)参考资料 2 FPGA入门与提升 ...

  9. (49)FPGA状态机描述(二段式)

    (49)FPGA状态机描述(二段式) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA状态机描述(二段式) 5)技术交流 6)参考资料 2 FPGA入门与提升 ...

最新文章

  1. Yii中Action详解
  2. Window.resizeTo()
  3. 聊聊并发(一)——深入分析Volatile的实现原理
  4. 插入排序法算长度为10的数组
  5. P2P技术详解(三):P2P中的NAT穿越(打洞)方案详解(进阶分析篇)
  6. 第三十八期:用Git帮助写作者更好地完成工作
  7. 清华大学《操作系统》(二十三):I/O子系统
  8. 网上课程之实例讲解(2)
  9. struts2自定义标签_Struts 2 –没有为动作和结果输入定义结果
  10. Linux开发_最全在Ubnutu环境下为你的程序设置快捷启动项和启动时管理员权限
  11. 云服务器上硬盘是虚拟的吗,虚拟硬盘映射在主机上使用
  12. 使用Git提交代码时出现Author identity unknow
  13. 职场“巨婴”,毁人不倦
  14. 生命倒计时-倒数9116日
  15. 百度大脑营业执照识别使用攻略
  16. kettle读取hbase
  17. Java基础篇——三大特性(封装)
  18. GeneXus与其它低代码平台有什么不同
  19. html5页面弹幕代码,html5新年许愿文字弹幕代码
  20. 线性代数:秩的各章节串烧、秩的所有公式总结、秩的常用结论及其推论、线性相关与线性表示线性表出和秩的关系

热门文章

  1. 【POJ - 1062】【nyoj - 510】昂贵的聘礼 (Dijkstra最短路+思维)
  2. eclipse 跑maven命令_maven编写命令行执行mvn package没问题,eclipse执行报错
  3. 杭州软件测试培训有用吗,杭州软件测试培训靠谱吗
  4. java怎么使用泛型_java泛型 7 泛型的基本介绍和使用
  5. Android入门(六) | Activity 的启动模式 及 生产环境中关于 Activity 的小技巧
  6. leetcode 231. 2的幂
  7. leetcode45 跳跃游戏II 秒杀所有答案
  8. 双向循环链表【数据结构】
  9. python函数星号参数
  10. 《Python Cookbook 3rd》笔记(1.19):转换并同时计算数据