《MATLAB信号与系统实验报告》由会员分享,可在线阅读,更多相关《MATLAB信号与系统实验报告(9页珍藏版)》请在装配图网上搜索。

1、信号与系统实验报告(5)MATLAB综合实验项目二 连续系统的频域分析目的周期信号输入连续系统的响应可用傅里叶级数分析。由于计算过程烦琐,最适合用MATLAB计算。通过编程实现对输入信号、输出信号的频谱和时域响应的计算,认识计算机在系统分析中的作用。任务线性连续系统的系统函数为,输入信号为周期矩形波如图1所示,用MATLAB分析系统的输入频谱、输出频谱以及系统的时域响应。图1 方法 1、确定周期信号ft的频谱。基波频率。2、确定系统函数。3、计算输出信号的频谱 4、系统的时域响应 MATLAB计算为 yY_n*expj*w0*n*t;要求(画出3幅图)1、在一幅图中画输入信号ft和输入信号幅度。

2、频谱|Fjw|。用两个子图画出。 2、画出系统函数的幅度频谱|Hjw|。 3、在一幅图中画输出信号yt和输出信号幅度频谱|Yjw|。用两个子图画出。解1 分析计算输入信号的频谱为FnTSan2nZ输入信号最小周期为TO2,脉冲宽度0.5,基波频率2/TO,TO14所以Fn14San4nZ系统函数为Hj11j因此Hjn11jn输出信号的频谱为YnHjnFn11jn14San4系统响应为ytn-nYnejnt2 程序tlinspace-3,3,300;tau_T1/4;TO14n0-20;n120;nn0n1;计算谐波次数20F_ntau_T*Satau_T*pi*n;f2*rectpulst1.。

3、75,0.5rectpulst-0.25,0.5rectpulst-2.25,0.5;figure1,subplot2,1,1,linet,f,linewidth,2;输入信号的波形axis-3,3,-0.1,2.1;grid onxlabelTimesec,fontsize,8,title输入信号,fontweight,bold设定字体大小,文本字符的粗细text-0.4,0.8,ftsubplot2,1,2,stemn,absF_n,.;输入信号的幅度频谱xlabeln,fontsize,8,title输入信号的幅度频谱,fontweight,boldtext-4.0,0.2,|Fn|H_。

4、n1./i*n*pi1;figure2,stemn,absH_n,.;系统函数的幅度频谱xlabeln,fontsize,8,title系统函数的幅度频谱,fontweight,boldtext-2.5,0.5,|Hn|Y_nH_n.*F_n;yY_n*expi*pi*n*t;figure3,subplot2,1,1,linet,y,linewidth,2;输出信号的波形axis-3,3,0,0.5;grid onxlabelTimesec,fontsize,8,title输出信号,fontweight,boldtext-0.4,0.3,ytsubplot2,1,2,stemn,absY_n,。

5、.;输出信号的幅度频谱xlabeln,fontsize,8,title输出信号的幅度频谱,fontweight,boldtext-4.0,0.2,|Yn|3 波形 项目三 连续系统的复频域分析目的周期信号输入连续系统的响应也可用拉氏变换分析。用MATLAB的符号计算功能,通过编程实现对系统瞬态响应和稳态响应的分析,加深理解拉氏变换在分析系统中的作用。任务线性连续系统的系统函数为,输入信号为周期矩形波如图2所示,用MATLAB分析系统的响应和稳态响应。图2 方法 1、确定第一个周期拉氏变换。2、确定前6个周期的拉氏变换。3、计算输出信号的拉氏变换 4、系统的时域响应 MATLAB计算为 yila。

6、placeY;5、系统的稳态响应和稳态值,即经过4个周期后,系统响应趋于稳态,两个稳态值可取为 t8s和t8.5s 要求1、画出输入信号ft波形。 2、画出系统输出信号yt的波形。 3、画出系统稳态响应ysst的波形,4个周期后。并计算出稳态值。解1程序syms s;H1/s1;F01/s*1-exp-0.5*s;输入信号第一个周期的laplace变换FF0F0*exp-2*sF0*exp-4*sF0*exp-6*s;YH.*F;Y0H.*F0;yilaplaceY;ysimpley;tlinspace0,12,300;f2*rectpulst-0.25,0.5rectpulst-2.25,0。

7、.5rectpulst-4.25,0.5rectpulst-6.25,0.5;ynsubsy;符号替换figure1,plott,f,linewidth,2;axis0,7,-0.2,2.2,xlabelTimesec,fontsize,8,title输入信号,fontweight,boldtext3.0,1.0,ftfigure2,plott,yn,linewidth,2;axis0,7,-0.1,0.5,xlabelTimesec,fontsize,8,title输出信号,fontweight,boldtext3.0,0.3,ytfigure3,plott,yn,linewidth,2;axis8,12,-0.1,0.5,xlabelTimesec,fontsize,8,title输出信号稳态响应,fontweight,boldtext10.0,0.2,ystt80.58.5;取t8s和t8.5两个稳态值yssubsy,t,t;disp输入为周期信号的响应的第一个周期;y0ilaplaceY0;prettyy0;符号输出类似数值形式disp输出稳态周期信号的两个值;ys2波形 命令窗口显示输入为周期信号的响应的第一个周期 heavisidet - 1/2 exp1/2 - t - 1 - exp-t 1输出稳态周期信号的两个值ys 0.1015 0.0616。

信号与系统matlab课设报告,MATLAB信号与系统实验报告相关推荐

  1. Java课设对对碰_java课程设计实验报告

    1 一 实验目的 加深学生对课堂讲授内容的理解,从计算机语言的基本概念.程序设计的基本方法.语 法规则等方面加深理解, 打好程序设计. 开发软件的良好基础.在上机实验中,提高学生对 Java 语言各部 ...

  2. matlab怎么求三次微分,matlab课设三阶微分方程多种方法求解.doc

    matlab课设三阶微分方程多种方法求解 目录 一.课程设计题目及意义 -------- 1 页 二.课程设计任务及要求 --------2 页 三.课程设计详细过程及结果 --------3至10页 ...

  3. matlab h面分贝方向图,天线技术实验报告.doc

    天线技术实验报告.doc HARBININSTITUTEOFTECHNOLOGY天线技术实验报告姓名班级学号院系电信学院2014年5月实验一天线方向图的测量一.实验目的1.通过实验掌握天线方向图测量的 ...

  4. 基于低功耗蓝牙和微信小程序的门禁系统(FPGA课设设计)

    基于低功耗蓝牙和微信小程序的门禁系统(FPGA课设设计) 文章目录 基于低功耗蓝牙和微信小程序的门禁系统(FPGA课设设计) 一.低功耗蓝牙(BLE)的配置和与FPGA通信代码 1.1 低功耗蓝牙的介 ...

  5. 投入产出实例matlab,利用MATLAB进行基础的投入产出表分析实验报告.doc

    利用MATLAB进行基础的投入产出表分析实验报告 学 生 实 验 报 告 学 院: XX学院 课程名称:宏观经济数量分析方法与模型 专业班级: 姓 名: 学 号: 学生实验报告 学生姓名学号同组人实验 ...

  6. python投票系统_python实时投票系统的课设

    季元峰脸色铁青,秦齐竟然敢这么跟他说话,实在是不知死活,难道秦齐现在还没有看清局势不成?python实时投票系统的课设 巴小琪如今的神志,显然已经被尼德霍格所侵蚀,连他都是不认识了. "这是 ...

  7. 计算机组装与维护预实验报告,计算机组装与维护实验报告.doc

    计算机组装与维护实验报告计算机组装与维护实验报告 江西公安专科学校 实 验 报 告 课程名称 年级专业 学 号 姓 名 \ 实 验 报 告 说 明 1.实验项目名称:要用最简练的语言反映实验的内容.要 ...

  8. linux用户和组管理的实验报告,linux用户管理任务实验报告

    linux用户管理任务实验报告 <实用操作系统>实验报告 实验报告:实验项目名称:用户管理任务 班级:学号:姓名: 地点:时间: 实验内容: 一.用户管理 (一)添加用户useradd 1 ...

  9. 大连理工大学计算机原理实验报告,大连理工大学计算机原理实验报告.pdf

    大连理工大学计算机原理实验报告 大连理工大学计算机原理实验报告 目 录 1 实验任务 1 2 设计方案 1 3 设计电路 3 4 设计程序 5 5 遇到的问题 2 (1)程序语法方面 2 (2 )运行 ...

  10. C语言实验报告册-20163a,c语言实验报告册-20163a.doc

    c语言实验报告册-20163a.doc 学生实验报告册(理工类)课程名称:C语言程序设计实验专业班级:15自动化2班学生学号:1517011063学生姓名:王启涛所属院部:智能科学与控制工程学院指导教 ...

最新文章

  1. 技术工坊|解密区块链DApp的代码逻辑,从请求到数据存储都要经历什么?(上海)...
  2. Jmeter脚本录制(Web)
  3. WIN7如何禁止IE浏览器自动升级
  4. boost::range_reverse_result_iterator相关的测试程序
  5. 10nm时代,高通霸主地位还能挺多久?
  6. 仅需1秒!搞定100万行数据:超强Python数据分析利器
  7. 面试必问题:JS防抖与节流
  8. python基础--列表,元组
  9. 5千万个密码的密码字典全家桶
  10. Ubuntu16.04安装(QQ.exe)
  11. unity3d 常用代码
  12. 利用VS的代码优化和openmp并行计算提高程序运行速度
  13. 盗版windows xp摇身一变成正版(不用算号器)
  14. NAND Flash硬件读写原理
  15. 【数学】高昆轮高数下强化
  16. 基于javaweb的在线车队货车管理系统(java+ssm+jsp+bootstrap+mysql)
  17. iOS 如何在一个已经存在多个project的workspace中引入cocoapods管理第三方类库
  18. 没有目标的人生是可怕的!
  19. 历年英语作文模板解析
  20. 『状态』驱动的世界:ReactiveCocoa

热门文章

  1. .NET Standard 2.1 公布
  2. Xamarin中国技术社区及BXUG官网上线啦
  3. .net core2.0下Ioc容器Autofac使用
  4. .Net Core及.Net Standard主要概念回顾
  5. Git分支操作与远程仓库的使用
  6. [转]使用npm发布vue组件
  7. [转]Webpack5(从入门到精通)
  8. Android之提示A failure occurred while executing org.jetbrains.kotlin.gradle.internal.KaptExecution
  9. 剑指offer之数组中的逆序对
  10. ubuntu设置代理 的三种方式