1、实验目的:进一步练习VHDL语言设计工程的建立与仿真的步骤和方法、熟悉VHDL语言基本设计实体的编写方法。

2、实验环境:PC个人计算机、Windows操作系统、Quartus II集成开发环境软件。

3、实验要求:设计一个一位全加器,实体名称为“full_adder”,其引脚与功能如下表。

端口模式

端口名

数据类型

功能逻辑表达式

说明

in(输入)

a

std_logic

加数

b

加数

ci

自低位进位

out(输出)

s

s <= a xor b xor ci

co

co <=  (a and b) or (a and ci) or (b and ci)

向高位进位

4、实验步骤:①建立工程、②编辑代码、③编译及修改错误、④建立仿真波形并仿真、⑤根据仿真结果分析设计是否正确。

提示:“s <= a xor b xor ci;”是并发信号赋值语句;“and”为与、“xor”为异或,是逻辑运算符。

library ieee;
use ieee.std_logic_1164.all;entity full_adder is
port(a,b,ci:in std_logic;s,co:out std_logic);
end entity;architecture rtl of full_adder is
begin
s<=a xor b xor ci;
co<=(a and b) or(a and ci) or(b and ci);
end rtl;

硬件描述语言实验二:一位全加器实验相关推荐

  1. LSB图像信息隐藏算法matlab,实验二LSB信息隐藏实验.doc

    实验二LSB信息隐藏实验.doc 实验二LSB信息隐藏实验 综合评分: [实验目的]: 掌握MATLAB基木操作 实现LSB信息隐藏和提取 [实验内容]:(请将你实验完成的项11涂"■&qu ...

  2. 20172319 实验二《树》实验报告

    20172319 2018.11.04-11.12 实验二<树> 实验报告 课程名称:<程序设计与数据结构> 学生班级:1723班 学生姓名:唐才铭 学生学号:20172319 ...

  3. 计算机系统(1)实验二 LC-3机器码编程实验

    计算机系统(1)实验二 LC-3机器码编程实验 文章目录 计算机系统(1)实验二 LC-3机器码编程实验 实验目的 实验内容与实验要求 实验步骤 程序代码及注释 实验结论 实验目的 1.分析和理解试验 ...

  4. 汇编语言单片机c51基本i/o输入输出实验报告,实验二i-o口输入输出实验报告.doc...

    实验二i-o口输入输出实验报告 单片机实验报告 2 姓名 徐伟彬 学号 1215111035 时间 地点 实验题目 I/O口输入.输出实验 一.实验目的 1. 学习I/O口的使用方法. 2. 学习延时 ...

  5. p1口流水灯c语言,单片机实验二--P1口输出实验(流水灯)

    <单片机实验二--P1口输出实验(流水灯)>由会员分享,可在线阅读,更多相关<单片机实验二--P1口输出实验(流水灯)(3页珍藏版)>请在人人文库网上搜索. 1.实验2p1端口 ...

  6. 【通信原理】【实验】实验二:数字基带传输实验(GZHU)

    文章目录 实验二:数字基带传输实验 信源为长度N=1000的随机"0","1"序列,发送端符号速率RB=1000Baud 采用双极性编码: x = randi( ...

  7. linux实验报告makefile,linux实验二交叉编译和Makefile实验报告.doc

    linux实验二交叉编译和Makefile实验报告 实验二 交叉编译和Makefile 实验目的 了解和掌握交叉编译模式和方法: 了解和掌握makefile文件的编写 学会使用交叉编译工具和make工 ...

  8. 大林算法计算机控制实验报告,实验二 大林算法实验报告

    实验二 大林算法实验 1. 实验目的 (1)理解大林算法的基本原理. (2)掌握大林算法的设计过程. 2. 实验仪器 (1) MATLAB 6.5软件 一套 (2) 个人PC机 一台 3. 实验原理 ...

  9. java面向对象实验结论及心得_20162305 实验二 Java面向对象程序设计 实验报告

    20162305 实验二 Java面向对象程序设计 实验报告 实验内容 1.初步掌握单元测试和TDD 2.理解并掌握面向对象三要素:封装.继承.多态 3.初步掌握UML建模 4.熟悉S.O.L.I.D ...

  10. matlab的程序设计实验报告答案,实验二 MATLAB程序设计(含实验报告).doc

    实验二 MATLAB程序设计(含实验报告) 实验二 MATLAB程序设计 实验目的 1.掌握利用if语句实现选择结构的方法. 2.掌握利用switch语句实现多分支选择结构的方法. 3.掌握利用for ...

最新文章

  1. mysql导入sql文件限制,Mysql导入大容量SQL文件数据有关问题
  2. DPDK加速I/O虚拟化
  3. 正在写一个VC的聊天软件
  4. HDU4267(2012长春网络赛)
  5. mysql登陆三小时平均值图片_Mysql每小时平均值,间隔从半小时开始
  6. 软考高项信息系统项目管理工程师自考500元搞定攻略
  7. isp串口下载 stm32_快速入门STM32单片机
  8. 深信服SCSA认证过程记录
  9. 视频剪辑,教你如何给视频水平翻转
  10. QCC原因分析阶段之常用工具 - QCC小组活动推行知识系列介绍(四)
  11. 和丰钢结构企业erp管理软件
  12. c语言编程利用泰勒级数,C语言程序设计:用泰勒级数计算cos
  13. QT图形显示和处理1
  14. 解决JS中出现的兼容性问题
  15. 【Vuforia】制作简单的AR--demo(有卡识别)
  16. java编写超市收银系统_java编写的超市收银系统
  17. 【进阶C语言】五人赛跑的真实排名
  18. Xshell7和Xftp7学生个人版下载使用(网盘链接)
  19. R语言同时3条曲线到一个画布
  20. Python爬虫+selenium——爬取淘宝商品信息和数据分析

热门文章

  1. 微软vc运行库地址VC9VC11
  2. Webx3 学习笔记
  3. win10安装马上6的问题
  4. Eclipse的使用-Eclipse的简单使用,需要的来看看吧!
  5. CentOS 下编译安装AliSQL
  6. mysql alisql,Mysql-03. ubuntu 安装 alisql
  7. CXF 中使用 wsdl2java 示例
  8. (转载)vmware workstation14永久激活密钥分享
  9. Thinkpad SL-400 XP驱动下载与安装方法
  10. 计算机机房需求调查表,机房建设需求调查表.doc