题目说明:
1)设计拔河游戏电路,用按键与LED表示输入与输出。
2)初始时,24个LED中间的两个点亮(引脚编号是100和99),然后游戏双方不停按动按键(K7和K0),点亮的两个LED向按动按键慢的一方移动;
3)每按动一下按键,LED向对方移动一格;
4)只要LED移动到头,游戏结束;
5)游戏结束时让胜利一方的LED灯(K7方:118-110,K0方:86-98)循环点亮。

题目比较简单,但是这个题目关键的地方在于按键的消抖(由于按键在按下的时候,看上去是按了一次,其实按键刚按下时抖动了很多次,这是机械特性,无法避免),有多少按键就要写多少消抖程序

  • 在消抖程序中,k0输出后为k00,k7输出后为k77。
  • 性能方面实现比较简单,功能比较单一,没有比较复杂的版块或功能,有很多可以改进的地方,比如增加数码管的计数功能,每当任意一方胜利的时候,上方的数码管计数器相应的加一等其他功能,但是由于时间问题没有去实现。
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;entity bahe isport(CLR,CLK,K7,K0: in std_logic;LED: out std_logic_vector(23 downto 0));
end bahe;ARCHITECTURE behavior of bahe issignal buff  :bit_vector(23 downto 0):="000000000001100000000000";signal buff2 :bit_vector(7 downto 0):="10000000";signal buff3 :bit_vector(10 downto 0):="00000000001";shared variable flag :std_logic:='0';signal k77:std_logic;signal count_k7:integer range 0 to 9;signal k00:std_logic;signal count_k0:integer range 0 to 9;
beginprocess(K00,K77,CLK,CLR)beginif buff = "000000000000000000000011" or  buff = "110000000000000000000000" thenflag := '1';end if;if CLR='1' thenif CLK'event and CLK='1' thenif flag='0' thenif K77 = '0' then buff <= buff SRL 1;右移elsif K00= '0' then buff <= buff SLL 1;左移end if;elsif flag='1' thenif buff(1 downto 0) = "11" thenbuff2<=buff2 ror 1 ;//循环右移buff(23 downto 16)<=buff2;ELSIF buff(23 downto 22) = "11" thenbuff3<=buff3 rol 1 ;//循环左移buff(10 downto 0)<=buff3;end if;end if;end if;elsif CLR='0' thenbuff<="000000000001100000000000";flag := '0';end if;led <= to_stdlogicvector(buff);end process;p1:process(clk)
beginif k7='0' thencount_k7<=0;elsif (clk'event and clk='1' )thenif count_k7=2 thencount_k7<=count_k7; elsecount_k7<=count_k7+1;end if;end if; if count_k7=1 thenk77<='0';elsek77<='1';end if;
end process p1;p2:process(clk)
beginif k0='0' thencount_k0<=0;elsif (clk'event and clk='1' )thenif count_k0=2 thencount_k0<=count_k0; elsecount_k0<=count_k0+1;end if;end if; if count_k0=1 thenk00<='0';elsek00<='1';end if;
end process p2;end ARCHITECTURE;

EDA课程设计-拔河游戏A设计相关推荐

  1. 拔河游戏A设计(EDA)

    拔河游戏A设计(EDA) 一.系统设计的任务和要求 1.实验目的 通过设计一个简易拔河比赛游戏机 (1) 熟练掌握EDA软件QUARTUS II的使用方法: (2) 能利用EDA软件QUARTUS I ...

  2. 游戏ui设计,游戏ui设计需要学什么

    游戏ui设计,游戏ui设计需要学什么?随着人类对休闲的追求,对游戏的体验方式也在不断的进步,游戏ui也将出现一次质的飞跃.在游戏中,ui设计也无处不在.那么,什么是ui设计,游戏ui设计需要学什么?今 ...

  3. HTML学生个人网站作业设计:游戏网站设计——原神首页 1页 带轮播图

    ⛵ 源码获取 文末联系 ✈ Web前端开发技术 描述 网页设计题材,DIV+CSS 布局制作,HTML+CSS网页设计期末课程大作业 | 游戏官网 | 游戏网站 | 电竞游戏 | 游戏介绍 | 等网站 ...

  4. 游戏美术设计之整体世界的画法-张聪-专题视频课程

    游戏美术设计之整体世界的画法-3032人已学习 课程介绍         游戏中各个物品的配合画法也是十分重要的,所以我们通过4个课时给大家详细的讲解下! 课程收益     更多人了解游戏换面各个物品 ...

  5. 游戏艺术设计学什么,就业前景如何

    回答游戏艺术设计主要学习游戏角色设计.游戏场景设计.游戏三维装备建模.游戏三维建筑建模.游戏三维人物建模.游戏三维场景制作.次时代游戏模型制作.游戏动作设计等课程,能利用各种图形图像软件进行游戏角色. ...

  6. 游戏网页设计:拟物还是扁平?

    游戏网页设计的扁平化设计从13年的设计潮流发展到如今的设计趋势,在网页设计中已经应用广泛.相比于曾经成为主流的质感化网页,这两者之间带给用户的体验变化究竟有哪些?以下我们来谈一谈. 扁平化设计为何如此 ...

  7. 游戏化设计:平台用户激励系统——互联网平台建设...

    文章是用户体验的最后一部分:平台用户的激励系统.文章内容包括什么是激励系统.怎么激励.内在激励还是外在激励.常见的激励系统四部分. 以下是数字化转型的分享线路图,您现在所在的位置为序号的分享:生态圈建 ...

  8. 优漫动游:游戏ui设计包括什么?

      游戏UI设计是游戏开发过程中非常重要的一部分,涉及到游戏中各种交互元素的设计.下面将介绍游戏UI设计包括哪些内容. ​   主菜单界面设计   主菜单是游戏的入口,主菜单界面设计需要具有视觉冲击力 ...

  9. 游戏美术设计从零学起难吗?要多久能学会?

    近几年游戏市场一直保持火热的态势,目前已成为游戏3D美术的主流技术,广泛运用在游戏.影视,动画,VR,3D打印等领域.预计5-10年还将延续这一现象,但是人才紧缺的现象也随之越发明显. 游戏美术设计包 ...

  10. 如何实现游戏架构设计

    1.什么是架构设计 最近公司招人,我负责面试程序员,人事发给我很多简历,我在阅读他们的简历时,经常会看到说自己会客户端架构设计,但当问到他们什么是架构设计时,基本上是一无所知.出现这种情况原因:一是自 ...

最新文章

  1. java服务器gc停顿_如何避免后台IO高负载造成的长时间JVM GC停顿(转)
  2. wsdd文件是怎么生成的_Axis WSDD文件参考文档
  3. 你有一张世界互联网大会的门票待领取!数字经济人才专场报名开启
  4. webstorm常用快捷键
  5. rbac权限管理 php,thinkPHP3.2使用RBAC实现权限管理的实现
  6. linux 开机启动文件夹,Linux开机启动流程
  7. leetcode-合并两个有序链表
  8. 最长上升子序列—leetcode300
  9. Linux 命令之 chfn -- 修改用户信息
  10. 安装vuejs全过程、淘宝镜像
  11. linux防火墙的复规则,Centos下iptables防火墙规则编辑方法 - YangJunwei
  12. 2014递归求解单链表中的平均值(C++,附递归函数思路讲解)
  13. Flume之Source
  14. 云知声今年要量产三款芯片,还公布了多模态AI芯片规划
  15. 存储分析:RAID技术走向何方?
  16. eclipse中导入dtd文件实现xml的自动提示功能
  17. Mandriva小记
  18. OpenGL 实验一 绘制简单图形
  19. 出现报错No such file or directory: experiments/coco/w32/w32_4x_reg03_bs10_512_adam_lr1e-3_coco_x140.yaml
  20. Python open()函数 小白|教程

热门文章

  1. cximage函数总结
  2. 桌面共享工具(可以实现RTMP直播、K歌、投屏等功能)
  3. haneWIN NFS Server|haneWIN NFS Server(优秀NFS服务器)V1.2.16官方版-绿色下载吧
  4. ERP的模块流程/组织架构和其他基础知识
  5. FFmpeg 视频处理工具讲解
  6. Postman-汉化插件
  7. 【保姆级手写理解——灰色预测理论以及python实现】
  8. ios实现读写锁,AFN的实现
  9. 解压版mysql使用
  10. 【ANSYS命令流】通用后处理技术(三):列表显示结果及输出TXT文本(实例)