使用流程

这里介绍了工程建立、文件输入、ip核配置、管脚配置、综合及布线以及下载程序。

1.工程打开

打开工程:打开Diamond软件后,可以打开一个已建好的工程或者在最近工程中打开,如下图所示

2.新建工程

如下,由于软件不会自动给工程中文件分类,可以在创建工程文件夹时对文件归类。

如下,点击File>new>project,出现如下窗口,点击next

如下,输入工程名、工程文件目录;Implementation 名可以和工程名一致,其目录会自动生成。填好后点击next

如下,可以将已经编辑好的源文件添加进工程,也可以在创建完工程后再编辑输入源文件

如下,选择芯片型号,在Part Names条框中选择相应型号,其他框格作用是辅助查找。

如下,点击next,选择综合工具。LSE是lattice自带的综合工具,是默认选项;Pro是第三方Synplify工具,其也是内嵌在Diamond开发环境中

如下,创建完成后,给出工程参数汇总,确认无误后点击finish,完成工程创建。

3.文件输入

如下,打开工程,进入开始界面,红色圈中显示了各类文件,设计源文件、约束文件、debug文件、配置文件等。

1)输入源文件:右击input files>add>new/existing files弹出如下窗口添加源文件

如下,在编辑框中完成源文件的输入

2)添加IP核文件

如下,对于ECP5芯片,IP核的使用工具在Diamond中为clarity designer 工具,此工具可以类比于vivado 的IP integrator,既可以例化单个IP模块,也可以连接多个IP生成集成块使用。点击Tools>clarity designer,或者直接点击下图所示圈出的图标。

如下,点击后会弹出clarity designer 窗口如下,三个选项,第一个选项可以创建一个IP“集成块”,第二个选项是打开已有的设计,第三个选项是例化单个IP。这里先选择第三个,进行例化pll。然后点击start。

如下,点击Start后,弹出如下窗口,双击需要的IP双击

如下,输入相应路径核名称,点击customize后出现配置窗口,配置完成会提示是否将所创建的文件添加到设计中去,点击OK。

如下,接着在Input Files栏出现所创建的IP文件,双击此IP文件也可以进行IP参数的配置。

接着就可以在Hierarchy窗口中看到所创建的IP文件,右击此IP>goto source definition 可以到达ip核的源文件,例化此IP即可。

3)输入约束文件.

右击Synthesis Constrain Files>new/existing files ,弹出如下窗口,LDC Files 对应着LSE的约束文件,SDC Files 则对应着Synplify的约束文件

如下,新建LDC文件完成后,弹出约束文件编辑窗口如下所示,窗口下端是各类约束子窗口。选择Clocks,在相应框格中下拉选择或输入约束的时钟名以及数值。如果的SDC文件则需要添加相应约束语句。

4、生成bit文件并下载

如下,完成相应文件输入后,打开Process窗口,进行综合。由于在工程建立中选择勒 LSE工具所以此时只显示了此工具。如果选择了synplify工具则综合后还需点击Translate Design 进行转换。综合成功后会显示绿色对勾,然后进行管脚配置。

如下,点击Tools > spreadsheet view 打开引脚配置窗口进行引脚配置,完成后保存。

如下,然后依次进行map design >place&rute design > export files  直到流程完成无误。

如下,接着进行程序烧写,点击Tools> programmer 弹出如下窗口,点击 创建新的JTAG>OK,接着自动进行器件的扫描识别。

扫描成功后会给相应器件标黄,点击红色圈中的下载按钮进行烧写。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用相关推荐

  1. LATTICE的FPGA开发软件diamond的安装及LICENSE授权教程

    免破解永久有效IP超全LICENSE,向博主本人提问FPGA相关问题 完整版请点击https://hifpga.com/问题/691 1.下载及安装DIAMOND软件 diamond 3.10版下载地 ...

  2. Lattice FPGA 开发工具Diamond使用流程总结——IP核使用、原语调用

    由于前面文章已经讲解了ip的使用方式,此文讲解利用PLL核产生相位可调的时钟信号,以及IO delay原语,这些在高速接口中经常用到.注意此文针对的是ECP5系列芯片. 一.利用PLL核产生相位可调的 ...

  3. [提高工作开发效率]程序员常用的工具软件推荐

    前言 现如今,技术发展十分迅猛,开发者只有通过不断的学习才能跟得上时代的步伐.而为了便于学习和工作(减少996),涌现了很多优秀的开发工具用以帮助开发者提高工作效率.现在我把我工作多年来收集实用的开发 ...

  4. Lattice FPGA ecp5u mico32软核使用

    1.软件 Diamond 3.11 (64-bit) LMS 1.0 for Diamond 3.11 2.硬件 ECP5U-25F 3.目的 实现ecp5u-25f使用lattice软核mico32 ...

  5. 【转帖】CPLD/FPGA开发流程及其开发工具介绍

    CPLD/FPGA开发流程及其开发工具介绍 基于CPLD的设计流程包括:输入.综合.适配.仿真.编程 输入:采用图形或者HDL语言进行编辑源代码工作,工具可采用一般的文本工具: 综合:根据输入编译后获 ...

  6. Lattice开发工具 diamond总结

    2. LVDS路视频: 3. framebuffer仿真路径: 4. framebuff_beh.v 参数: 5. framebuffer IP问题: 6. CVO 控制逻辑,参考DIS2 adapt ...

  7. FPGA开发工具套餐搭配推荐及软件链接(工程师们转起来)

    FPGA开发工具套餐搭配推荐及软件链接(工程师们转起来) FPGA之家 今天 以下文章来源于FPGA开源工作室 ,作者相量子 FPGA开源工作室 知识,创新,创艺,FPGA,matlab,opencv ...

  8. FPGA开发工具套餐搭配推荐及软件链接 (更新于2020.03.16)

    一.Xilinx(全球FPGA市场份额最大的公司,其发展动态往往也代表着整个FPGA行业的动态) (1) Xilinx官方软件下载地址链接: https://china.xilinx.com/supp ...

  9. FPGA的设计艺术(2)FPGA开发流程

    前言 注:本文首发易百纳技术社区,文章链接:FPGA的设计艺术(2)FPGA开发流程 本文介绍整个FPGA设计流程以及设计FPGA所需的各个步骤-从一开始到可以将设计下载到FPGA的阶段.但是在此之前 ...

  10. xilinx fpga 开发工具vivado 软件的安装,使用详细教程

    文章目录 xilinx fpga 开发工具vivado 软件的安装,使用详细教程 xilinx fpga 开发工具vivado 软件的安装,使用详细教程 点此下载vivado软件的安装说明文档 点此下 ...

最新文章

  1. VMware网络配置详解
  2. Linux中的一个命令行计算器bc简介
  3. Calibration校准halcon算子,持续更新
  4. django12:form 组件/渲染标签/数据校验/钩子函数/
  5. javascript时间戳和日期字符串相互转换
  6. python选定区域设置边框_Python教程:巧用openpyxl为指定区域设置边框为粗匣框线...
  7. “鸿蒙”系统的产生并不是为了手机?任正非透露实情...
  8. 数据结构 6-0 图
  9. 蚂蚁分类信息使用心得
  10. matlab中创建txt文件,在MATLAB中创建填充了任意数据的大型txt文件
  11. 创新科技 新BMW 7系 有你夫复何求
  12. 感谢贤心感谢layui
  13. 法国大数据:智慧城市中的大数据
  14. 旅游类App的原型制作分享
  15. 微信公众平台账号找回方法
  16. Parametric model
  17. 南加大计算机专业硕士申请,南加州大学计算机科学专业硕士申请条件独家整理附案例分析...
  18. 20162316刘诚昊 课下排序测试
  19. 3dmax和python哪个好学_C4D和3DMAX哪个好?
  20. chrome浏览器设置cookie失效

热门文章

  1. 虚拟板式混响插件:Fuse Audio Labs VREV-140 Mac
  2. 简单html,用CSS设计一个留言板
  3. 联想计算机无法启动怎么办,联想电脑打不开机怎么办
  4. Windows 95 下载
  5. IDEA2019开发WebService实例
  6. 让AngularJS兼容IE8及其以下浏览器版本的方法
  7. 音频处理之回声消除及调试经验
  8. php redis入门指南,redis入门指南(四)—— redis如何节省空间
  9. 数字化转型实践:世界级 2B 数字化营销的方法框架
  10. python for everybody作业和测试答案_PY4E-Python for Everybody课后作业答案