1)当vld拉高,但是rdy还没拉高时,vld和data需要保持稳定。

方法1:

VLD_RDY_ASSERT : assert property(@(posedge clk) vld && $past(vld) && !$past(rdy) |-> $stable(data)).

方法2:

VLD_RDY_ASSERT: assert property(@(posedge clk) disable iff(!reset_n) vld && !rdy |=> $stable(data))

注:
$stable() : 是这一拍和上一拍对比,数据有没有变化

写断言的时候,也要注意是 vld的值,还是vld的上升沿和下降沿,这个也是蛮重要的。

vld/rdy协议 断言相关推荐

  1. TPM-TPM-Profile-PTP协议-2

    TCG_PCClient_Device_Driver_Design_Principles_TPM2p0_v1p1_r4_211104_final.pdf 4 简介 本文档补充了 TCG PC Clie ...

  2. Assertion断言入门(四)——断言覆盖率

    以APB总线协议的断言检查和断言覆盖率为例,学习断言的基本使用和断言覆盖率覆盖.需要用到关键词cover来收集断言覆盖. APB总线协议时序图如下:(首图为读操作时序和后图为写操作时序) PS:关于A ...

  3. 第2章 SystemVerilog断言

    第2章 SystemVerilog断言 XtremeDV 2018-04-19 17:42:06 分类专栏: SVA system verilog SystemVerilog Assertions 2 ...

  4. ZeroMQ:云时代极速消息通信库

    ZeroMQ:云时代极速消息通信库(大规模|可扩展|低成本|高效率解决之道,大规模分布式|多线程应用程序|消息传递架构构建利器) [美]Pieter Hintjens(皮特.亨特金斯)著   卢涛 李 ...

  5. 联邦身份认证——SAML

    转载自:http://blog.csdn.net/peterwanghao/article/details/4271813 1.概述 目前越来越多的系统通过Web服务.门户和集成化应用程序彼此链接,为 ...

  6. day34 异常处理、断言、socket之ftp协议

    Python之路,Day20 = 异常处理.断言.socket之ftp协议 参考博客:http://www.cnblogs.com/metianzing/articles/7148191.html 1 ...

  7. 如何写控制逻辑(三):模块级流水和valid/ready协议

    如何写控制逻辑(三):模块级流水和valid/ready协议 大概八月份就开始想总结下控制逻辑的写法了,然后开始找资料,没有直接讲这个的,零零散散的看了很多,断断续续的写了很长时间,自闭无岁月··· ...

  8. 通信协议详解(一):UART串口(协议+数据格式+设计实现)

    uart串口通信协议及verilog实现 文章目录 一.uart串口通信简介 二.串口传输 1.数据协议 2.整体架构 三.串口传输实现 1.发送模块 2.接收模块 四.串口收发仿真 总结 一.uar ...

  9. 内存泄漏检测工具VLD在VS2010中的使用举例

    Visual LeakDetector(VLD)是一款用于Visual C++的免费的内存泄露检测工具.它的特点有:(1).它是免费开源的,采用LGPL协议:(2).它可以得到内存泄露点的调用堆栈,可 ...

  10. amqp协议与pika库浅析

    AMQP协议 简介 高级消息队列协议使得遵从该规范的客户端应用和消息中间件服务器的全功能互操作成为可能. 为了完全实现消息中间件的互操作性,需要充分定义网络协议和消息代理服务的功能语义. 一套确定的消 ...

最新文章

  1. 大数据时代云计算在新媒体平台的应用研究
  2. uni-app如何取消pages页面的默认返回按钮【autoBackButton属性】
  3. Git的分支命令详解
  4. 根据ip获得国家,省,城市,运营商
  5. k8s 服务发现:通过CoreDNS域名访问service服务
  6. SparkSQL 将统计结果保存到Mysql
  7. ant构建项目迁移到gradle_Gradle这么弱还跑来面腾讯?
  8. leetcode212. 单词搜索 II
  9. Java 自定义异常(转载)
  10. Python机器学习:评价分类结果005precisoion-Recall的平衡
  11. 远程安装CentOS
  12. ASP.net Ajax 调用Web Service
  13. 1年内从2000涨到13000的成长经验分享
  14. android-studio-ide下载安装配置JDK第一个helloworld 一条龙
  15. 全球单片机的主要厂商和主要型号介绍
  16. KB4484127 更新导致ACCESS数据库查询报 Query '' is corrupt 异常解决方案
  17. Yolov5+Resnet+Flask实现唇语识别系统
  18. rainmeter 皮肤_Rainmeter入门指南:在桌面上显示系统统计信息
  19. 使用Wagtail一段时间后的感受
  20. cm-14.1 Android系统启动过程分析(二)-Zygote进程启动过程

热门文章

  1. WEP无线网络密码破解
  2. 电气simulink常用模块_重庆台达PLC控制柜施工技术标准 - 重庆电工电气
  3. Win7 AERO主题变成灰色解决方法
  4. 一个500强公司的数据化运营管理实践 1
  5. 阿里巴巴普惠_阿里巴巴的普惠字体来了,再也不用担心版权问题了。
  6. 码农神器集 - 序 (程序员实用工具集)
  7. 谷歌地图网页版_安卓版谷歌地图新增专用的街景图层
  8. Python 的切片语法为什么不会出现索引越界呢?
  9. 宝塔Inode信息使用率100%满了怎么清理?
  10. 月薪30K+的电子工程师应具备什么?