目录:

  • 0. 前言
    • 0.0 vcs+verdi联合仿真教父级别教程
  • 1. 异步复位的串联T触发器
    • 1.1 题目描述
      • 1.1.1 信号示意图
      • 1.1.2 波形示意图
      • 1.1.3 输入描述
      • 1.1.4 输出描述
    • 1.2 解题思路
    • 1.3 代码实现
    • 1.4 测试文件
    • 1.5 仿真波形
  • 2. 奇偶校验
    • 2.1 题目描述
      • 2.1.1 信号示意图
      • 2.1.2 波形示意图
      • 2.1.3 输入描述
      • 2.1.4 输出描述
    • 2.2 解题思路
    • 2.3 代码实现
    • 2.4 测试文件
    • 2.5 仿真波形
    • 参考文献

0. 前言

今天只刷了一个题,为啥呢?是因为难吗?好像不是哦!!!因为我看牛客网上的题好像是漏洞百出,所以萌生出一个大胆的想法,那就是自己写设计和测试文件,使用vcs+verdi联合仿真(随大流,哈哈哈)。咱不说别的,就光装环境花了一天,呜呜呜。不过现在基本上环境是没有问题了,关于环境,我是参考大佬做的,见参考文献。

0.0 vcs+verdi联合仿真教父级别教程

点击就送!!!

1. 异步复位的串联T触发器

点击查看原题

1.1 题目描述

用verilog实现两个串联的异步复位的T触发器的逻辑

1.1.1 信号示意图

1.1.2 波形示意图

1.1.3 输入描述

输入信号 data, clk, rst
类型 wire
在testbench中,clk为周期5ns的时钟,rst为低电平复位

1.1.4 输出描述

输出信号 q
类型 reg

1.2 解题思路

需要注意的是,关于各个信号是什么电平有效呢?这个需要我们根据题目要求搞清楚,这里需要吐槽的是,明明是低电平复位,官方竟然没有定义成rst_n(_n默认就是低电平有效的,个人习惯)。

知识点1:T触发器===>输入为1,输出翻转;输入为0,输出保持。

知识点2:异步复位表示不需要等待时钟就可以完成复位。同时,还有同步复位,一般情况下,最好是使用同步复位,单有时候避免不了异步复位,因为省资源,很省,哈哈,因此,出现了异步复位,同步释放的操作,广泛应用。

1.3 代码实现

`timescale 1ns/1ns
module Tff_2 (
input wire data, clk, rst,
output reg q
);
//*************code***********//reg q_reg;always @ (posedge clk or negedge rst) beginif(!rst) beginq_reg <= 1'b0; endelse beginif(data) beginq_reg <= ~q_reg; endelse beginq_reg <= q_reg; endendendalways @ (posedge clk or negedge rst) beginif(!rst) beginq <= 1'b0; endelse beginif(q_reg) beginq <= ~q; endelse beginq <= q; endendend//*************code***********//
endmodule

1.4 测试文件

2022.6.28
关于测试文件,我暂时还没做,等我先熟悉一下vcs和verdi联合使用,哈哈!敬请期待。

2022.6.29 21:17
我来更新我之前的承诺了,哈哈哈,没想到硬肝了一天,硬是啃下了这块硬骨头。

关于我如何学习的可以参照我之后写的一篇文章,敬请期待

2022.6.30
爆肝几小时,出了一篇vcs+verdi联合仿真教父级别教程,哈哈,话不多说,直接给你传送门

  1 module Tff_2_tb();                                                                                                                                                    2     reg clk=0;3     always #5 clk = ~clk;  // Create clock with period=104  5     reg data=0,rst=0;6  7     initial begin8         #10 rst = 1;9         #210 $finish;10     end11  12     always13         begin14             #60 data = ~data;15         end16  17     initial begin18         $fsdbDumpfile("tb.fsdb");19         $fsdbDumpvars;20     end21  22     Tff_2 test(.data(data),23                .clk(clk),24                .rst(rst),25                .q(q));26 endmodule

1.5 仿真波形

敬请期待!!!

没有q_reg信号

添加了q_reg信号,看的更清晰

2. 奇偶校验

2.1 题目描述

现在需要对输入的32位数据进行奇偶校验,根据sel输出校验结果(1输出奇校验,0输出偶校验)

2.1.1 信号示意图

2.1.2 波形示意图

2.1.3 输入描述

输入信号 bus sel
类型 wire

2.1.4 输出描述

输出信号 check
类型 wire

2.2 解题思路

1、简单理解奇偶校验

奇校验:原始码流+校验位 总共有奇数个1

偶校验:原始码流+校验位 总共有偶数个1

2、计算奇偶校验的方法 按位求异或得到奇校验结果,对其求反得到偶校验结果
3、关于涉及到的基础知识,可以移步到我的另一个专栏,传送门。

2.3 代码实现

`timescale 1ns/1ns
module odd_sel(
input [31:0] bus,
input sel,
output check
);
//*************code***********//wire temp_reg;assign temp_reg = ^bus;assign check = sel ? temp_reg : ~temp_reg;//*************code***********//
endmodule

2.4 测试文件

  1 module odd_sel_tb();                                                                                                                                                  2     reg clk=0;3     4     always #5 clk = ~clk;  // Create clock with period=105  6     reg [31:0] bus = 0;7     reg sel = 0;8     wire check;9  10     odd_sel t(.bus(bus),11               .sel(sel),12               .check(check));13  14     always begin15         #20 sel = ~sel;16     end17  18     always begin19         #20 bus++;20     end21  22     initial begin23         $fsdbDumpfile("tb.fsdb");24         $fsdbDumpvars;25         #200 $finish;26     end27 endmodule

2.5 仿真波形

好了,这就是这两个题目的全部了,如有感兴趣的,可以评论区或者私聊我获取相应的文件。
希望大家看完之后可以给个三连,让更多的人都能看到,感谢!!!
加油,奥里给!!!

===========================================================================

参考文献

关于虚拟机的搭建
tb参考

【牛客网刷题系列 之 Verilog快速入门】~ 异步复位的串联T触发器、奇偶校验相关推荐

  1. verilog牛客网刷题代码汇总

    verilog牛客网刷题代码汇总 作者:安静到无声 个人主页 作者简介:人工智能和硬件设计博士生.CSDN与阿里云开发者博客专家,多项比赛获奖者,发表SCI论文多篇. Thanks♪(・ω・)ノ 如果 ...

  2. 牛客网刷题记录 || 结构体和类

    这是牛客网刷题记录专栏第五篇博文,先给大家简单介绍一下牛客网,牛客网是一个集笔面试系统.题库.课程教育.社群交流.招聘内推于一体的优质网站,牛客网题库中包含几万道题目,注重通过边学边练的模式揽获编程人 ...

  3. 牛客网刷题之SQL篇:非技术快速入门39T

    导航 前序 一.简单的关键字练习 1-10 二.知识点复习之 ==运算符== 1.算数运算符 2.比较运算符 3.逻辑运算符 4.位运算符 三.10-28T 0.简单题总结 1. SQL18 ==分组 ...

  4. 2023年大年初一 —— 牛客网刷题经验分享~

    2023年大年初一 -- 牛客网刷题经验分享~

  5. 牛客网 刷题前的准备工作(输入 输出 如何接收?)

    牛客网 刷题前的准备工作 牛客网 刷题前的准备工作 1. 数据读取接受问题 2.牛客刷题前的准备: 2.1. 弄清楚输入输出的行数关系 3.代码怎么写 3.1. 在牛客上测试自己的模板代码,是否能正确 ...

  6. 牛客网刷题记录 || 循环

    这是牛客网刷题记录专栏第七篇博文,先给大家简单介绍一下牛客网,牛客网是一个集笔面试系统.题库.课程教育.社群交流.招聘内推于一体的优质网站,牛客网题库中包含几万道题目,注重通过边学边练的模式揽获编程人 ...

  7. 【牛客网刷题】中秋节前开启java专项练习错题总结第一天

    [牛客网刷题]中秋节前开启java专项练习错题总结第一天 概述 写在前面 错题分析 值得记录的错题 总结 写在最后 概述 还有十几天就到中秋节了,从此又老了一岁,也多了一年的知识积累.对于这样一个特殊 ...

  8. 牛客网刷题 入门篇 基础语法

    VL1 四选一多路器 四选一多路器_牛客题霸_牛客网 `timescale 1ns/1ns module mux4_1( input [1:0]d1,d2,d3,d0, input [1:0]sel, ...

  9. 牛客网刷题 --- 输入输出数据处理

    正确处理输入输出格式 常见的输入格式: 预先不输入数据的组数 - 直接读到文件结尾 预先输入数据的组数 - 读数据组数然后循环 只有一组数据 - 直接读数据 处理输出格式要注意细节,看题目的具体要求 ...

  10. 牛客网刷题——二叉树

    作者:敲代码の流川枫 博客主页:流川枫的博客 专栏:和我一起学java 语录:Stay hungry stay foolish 工欲善其事必先利其器,给大家介绍一款超牛的斩获大厂offer利器--牛客 ...

最新文章

  1. static string java_java:String类、static关键字、Arrays类、 Math类
  2. MATLAB 的条件分支语句
  3. cropped-img_2692.jpg
  4. nginx 如何调用php文件,nginx php-fpm问题无法调用文件夹中的其他php文件
  5. Spring Boot Admin:微服务应用监控
  6. TMS320F28335时钟(1)
  7. PAT1050 螺旋矩阵 (25 分)【全部通过 关于段错误的原因 以及测试点7】
  8. Windows7 IIS7下以FastCgi和ISAPI方法安装配置PHP5教程
  9. 【转】漫画:Bitmap算法
  10. android Camera 录像时旋转角度
  11. SaltStack之target
  12. 谁动了我的琴弦——会话劫持
  13. 【算法leetcode每日一练】面试题 08.04. 幂集
  14. LoRaWAN 帧计数机制及典型问题分析
  15. 老少恋中的愉悦和不安
  16. Netty源码解析-Netty内存泄露检测
  17. comsol学习中心:定义与材料选择
  18. Ardupilot环境搭建
  19. Cesium 日常问题整理
  20. 关于在word里的表格里面打公式出现换行问题

热门文章

  1. 偷偷盘点一下各大公司的实习薪资
  2. python编写一个汇率兑换程序_汇率兑换—python第一课
  3. 图像编码解码(I P B帧),数码相机成像原理
  4. 华为xpro重装linux,HUAWEI MateBook X Pro 2019款重装win10系统以及Bios设置方法
  5. java继承有哪些_Java中的继承类型有哪些
  6. Acwing:星空之夜(图的哈希 Python)
  7. oracle db studay
  8. 华灿光电收购美新半导体 未来形成LED和传感器双主业发展
  9. Mac苹果电脑桌面上的文件突然没了怎么办
  10. c语言无法定位程序输入点 于动态链接库,无法定位程序输入点于动态链接库?解决方法步骤...