题目六:霓虹灯电路设计(平台实现)★

1)使用平台上的8个七段数码管进行显示,即围绕平台上的8个数码管转圈;
2)要求同时显示的段数为1、2、3段可选;
3)可进行顺向、逆向显示(通过某一开关键进行选择);
4)按下复位键后,重新开始旋转;
5)多种点亮方式自选,如全亮等。

环境:Win10 + Quartus II 9.0 (32-Bit)

EDA是什么?

EDA的中文名是电子设计自动化。EDA是指利用计算机辅助设计(CAD)软件,来完成超大规模集成电路(VLSI)芯片的功能设计、综合、验证、物理设计(包括布局、布线、版图、设计规则检查等)等流程的设计方式。
通过此次霓虹灯电路设计我初步了解到EDA技术,可能说EDA技术你可能不知道它是什么,但如果说芯片技术你可能就会有所了解,芯片的设计离不开EDA技术。没有EDA软件,高端芯片的设计你将无从下手。
EDA技术基本被美国三家公司垄断:Cadence、Synopsis和Mentor Graphic。EDA公司的商业模式分为两类:license证书授权与IP核(具有知识产权的集成电路芯核Intellectual Property的简称)。2014年,华为一次性花费3000万美元去购买Cadence公司的证书。由此可见,EDA技术在国内的落后以及其在现在这个信息时代的重要性。

设计步骤:

要完成这个作业,首先要了解数码管的使用:
一块EDA板子有8个数码管,每一个数码管都有8个段(包括小数点)。
如以下图,SEL 7-0分别表示数码管1-8,下面为Pin(引脚号)号。
如以下图,ABCDEFGH分别表示1-8个段,其中H为小数点。ABCDEFGH右边为Pin(引脚号)号。

详细如下图:

数码管用‘0’来控制开,用’1‘开控制关。

每个数码管中的段用‘1’来控制亮。

由于本题不同的功能之间都是大同小异,所以作者只展示一段绕圈的思想及实现,理解了这个一段绕圈的思想,相信你们对霓虹灯电路设计就能得心应手。

1段绕圈。思想为如果是顺时针设置为正方向的话,利用时钟信号和信号量计时,在一定的时间间隔内选打开择你想顺时针转圈的第一个始段所在的数码管并打开它(‘0’是打开,‘1’是关闭)。然后选择第二个要点亮的段所在的数码管,依次这样的操作即可得到1段灯管绕8个数码管的动态转圈。

VHDL代码(1段功能):

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY test11 isPort(clk:In STD_LOGIC;ledag:OUT STD_LOGIC_VECTOR(7 downto 0);--8 segmentsBt:OUT STD_LOGIC_VECTOR(7 downto 0);--8 digital tubeskey7:in bit;i7:buffer bit);
end test11;
Architecture arc of test11 is
Signal cnt8: integer range 0 to 2100;
Signal a:integer range 0 to 24;
Signal k7:integer range 0 to 100;
Begin
P2:process(clk)Beginif clk'event and clk='1' thenif cnt8=2050 thencnt8<=0;else cnt8<=cnt8+1;if key7='0' thenif k7=100 theni7 <= i7 xor '1';--When K7 is equal to 100, the key state changes oncek7<=0;else k7<=k7+1;end if;end if;end if;end if;if i7='0' thencase cnt8 iswhen 0=> Bt<="11111111";a<=0;when 100=> Bt<="11111110";a<=1;when 200=> Bt<="11111101";a<=2;when 300=> Bt<="11111011";a<=3;when 400=> Bt<="11110111";a<=4;when 500=> Bt<="11101111";a<=5;when 600=> Bt<="11011111";a<=6;when 700=> Bt<="10111111";a<=7;when 800=> Bt<="01111111";a<=8;when 900=> Bt<="01111111";a<=9;when 1000=> Bt<="01111111";a<=10;when 1100=> Bt<="01111111";a<=11;when 1200=> Bt<="10111111";a<=12;when 1300=> Bt<="11011111";a<=13;when 1400=> Bt<="11101111";a<=14;when 1500=> Bt<="11110111";a<=15;when 1600=> Bt<="11111011";a<=16;when 1700=> Bt<="11111101";a<=17;when 1800=> Bt<="11111110";a<=18;when 1900=> Bt<="11111110";a<=19;when 2000=> Bt<="11111110";a<=20;when others=>NULL;end case;end if;if i7='0' thencase a iswhen 0=>ledag<="00000000";when 1=>ledag<="10000000";when 2=>ledag<="10000000";when 3=>ledag<="10000000";when 4=>ledag<="10000000";when 5=>ledag<="10000000";when 6=>ledag<="10000000";when 7=>ledag<="10000000";when 8=>ledag<="10000000";when 9=>ledag<="00000100";when 10=>ledag<="00001000";when 11=>ledag<="00010000";when 12=>ledag<="00010000";when 13=>ledag<="00010000";when 14=>ledag<="00010000";when 15=>ledag<="00010000";when 16=>ledag<="00010000";when 17=>ledag<="00010000";when 18=>ledag<="00010000";when 19=>ledag<="00100000";when 20=>ledag<="01000000";when others=>NULL;end case;end if;
end process P2;
end arc;

效果图(应该是顺时针动态以1段绕圈跑灯)


完整代码
链接:https://pan.baidu.com/s/1eqdfHf93877ZlLBqe04Xrw
提取码:vans
原创不易,转载需说明!

EDA大作业:霓虹灯电路设计相关推荐

  1. 西安电子科技大学-EDA大作业-交通控制器

    西安电子科技大学-EDA大作业-交通控制器 简介 一.实验目的 二.设计任务 三.程序设计 3.1 分频器 3.2 45秒倒计时 3.3 数码管译码器 3.4 总控制器 3.5 顶层设计 3.6 引脚 ...

  2. EDA大作业——交通灯

    EDA大作业题   目:     交通灯        代码详见http://blog.csdn.net/a350203223/article/details/36061631 一.  设计要求 设计 ...

  3. 计算机硬件大型作业报告,计算机硬件技术大作业报告.doc

    计算机硬件技术大作业报告 计算机硬件技术实践报告 题目 温度测量系统设计 姓名 专业 测控技术与仪器 班级 学号 上海电力学院自动化工程学院 目录: (1) 设计题目 () 开发目的 (3) 小组成员 ...

  4. 象棋快棋赛电子裁判计时器的设计——《数字逻辑与数字系统设计》实验大作业设计报告

    数电Github资源 <数字逻辑与数字系统设计>实验大作业设计报告 实验题目 象棋快棋赛电子裁判计时器的设计 实验难度 ★★ 成员 姓名 班号 学号 1 郭茁宁 1837101 11837 ...

  5. 新开设的人工智能专业有哪些专业课程?——考试考点/真题/大作业汇总

    专业课考试 我是人工智能专业开设以来的第一届学生,这带来了一个弊端,那便是专业课考试无往年真题可以参考.其它传统专业可以通过刷题来快速掌握考点,并且每年题目的差异性不会太大,比较好准备.而我们专业的学 ...

  6. html期末作品大作业——IT技术个人简历(1页)网页设计作业 学生网页作业

    HTML5+CSS大作业--IT技术个人简历(1页) 常见网页设计作业题材有 个人. 美食. 公司. 学校. 旅游. 电商. 宠物. 电器. 茶叶. 家居. 酒店. 舞蹈. 动漫. 明星. 服装. 体 ...

  7. 北工大数字逻辑期末大作业

    个人所写 有参照(最后一个综合题有错)勿骂(yysy数字逻辑和数逻实验真的太难了 一. 1.在Logisim中打开给定的project.circ . 2.然后,点击"大作业逻辑图" ...

  8. java大作业私人管家系统_操作系统概念(Operating System Concepts)第十版期中大作业...

    更正: 第一题中,哲学家就餐问题中的哲学家的状态state[i]应属于临界区变量,是可能会产生读写冲突的,所以对其进行读写的时候均需要加一把互斥锁. 非常感谢不听不听不听的指正. ---------- ...

  9. 大一c语言大作业课题大全,昆明理工大学大一C语言大作业题目.doc

    昆明理工大学大一C语言大作业题目 综合性实践排序求平均值(包括将数拆散求最大最小值).函数ReadDat()随机产生100个存放到数组aa中00个jsSort()函数的功能是:进行降序排列.最后调用函 ...

最新文章

  1. keras 的 example 文件 cifar10_resnet.py 解析
  2. java 字符间距_java中,label或者文本框怎么设置字符之间的间距
  3. 【Verilog HDL 训练】第 03 天
  4. Python 技术篇-按任意格式灵活获取日期、时间、年月日、时分秒。日期格式化。
  5. UA MATH563 概率论的数学基础 中心极限定理14 Kolmogorov maximal inequality
  6. [摘记]数值方法04——函数求值
  7. Servlet的运行方式
  8. vue-cli起服务chrome不能打断点——每周汇总(第一周)
  9. docker export import后,导入镜像,启动时的错误,Error response from daemon: No command specified...
  10. Vue.js-----轻量高效的MVVM框架(五、计算属性)
  11. 百度地图在vue中使用
  12. 计算机编程c语言汇总,计算机软件编程中的C语言分析
  13. 干货收藏!如何绘制产品流程图
  14. 【协议】LLDP、ARP、STP、ICMP协议
  15. 【luogu CF633H】Fibonacci-ish II(莫队)(线段树)(矩阵乘法)
  16. Win10 触摸屏 快捷键操作
  17. C++中虚函数的理解,以及简单继承情况下的虚函数的表!
  18. 商业智能bi时代:商务智能常见应用实例
  19. Flash的SLC、MLC和TLC三者区别
  20. 学生管理系统——C语言版

热门文章

  1. 4行Python代码实时获取股市数据
  2. spring 配置连接池时 各个属性的介绍
  3. H5路由的pushState(),replaceState()和popstate()用法
  4. unity3d之如何控制人物移动、旋转和动画播放
  5. 设置图片inSampleSize但是内存没变?或许你应该看看这个
  6. Python文本分析之常用最全停用词表(stopwords)
  7. QT4.8.6中文乱码
  8. centos7 shell编程
  9. 一图看懂Linux发行版本分支;不同应用方向选择建议
  10. 基于 mysql时序_几个时序数据库