半加器

  • 任务描述
  • 相关知识
    • 逻辑原理
    • 一位半加器真值表
  • 编程要求
  • 源码

任务描述

根据所学的组合逻辑及数字电路的知识完成半加器的设计,验证满足一位半加器的规则,根据逻辑真值表和逻辑表达式完成表决功能。熟悉Quartus II的Verilog HDL文本设计流程,掌握组合逻辑电路的设计仿真和硬件测试的方法。最后完善一位半加器电路的功能描述风格Verilog HDL 代码。

相关知识

逻辑原理

一位半加器电路中, A、B为两个 1 位数,不考虑来自低位的进位, A、 B 相加的结果为 So,产生的进位为 Co。
设输入为 A、 B,且 A 表示被加数,用二进制数1,0表示该输入值; B 表示加数,用二进制数1,0表示该输入值。 则一位半加器电路的真值表如下表所示。

一位半加器真值表

编程要求

为了完成判断学生成绩等级的任务,完善编程模块设计代码,编写的程序要能根据不同的输入能够得到满足一位半加器真值表的组合逻辑的输出。

源码

测试平台:EduCoder

//hadder_test.v
module hadder_test(a,b,cout,sum);
// 请在下面添加代码,完成一位半加器功能
//The first method
/* Begin */output sum;output cout;input  a,b;assign {cout,sum}=a+b;
/* End */
/*
//The second  method
input a,b;
output cout,sum;
wire a,b;
reg cout,sum;always @(a,b)beginif(a==0 && b==0)begin cout=0;sum=0;endelse if(a==0 && b==1)begin cout=0;sum=1;endelse if(a==1 && b==0)begin cout=0;sum=1;endelse if(a==1 && b==1)begin cout=1;sum=0;endend
*/
endmodule

觉得有帮助的可以点个赞再走哦!!

半加器——Verilog HDL语言相关推荐

  1. 有限状态机设计实例之空调控制器(Verilog HDL语言描述)(仿真与综合)(附用Edraw(亿图)画状态转移图)

    目录 前言 空调控制器 简介 状态转移图如下: Verilog HDL语言描述 测试文件 仿真图 ISE综合 RTL Schematic Technology Schematic 前言 关于工具的使用 ...

  2. 模24的8421BCD码计数器(Verilog HDL语言描述)(仿真与综合)

    目录 前言 原理 Verilog HDL程序设计 测试代码 仿真波形图 ISE综合后 RTL Schematic Technology Schematic 前言 本博文用Verilog HDL语言描述 ...

  3. 级联模60计数器(Verilog HDL语言描述)(仿真与综合)

    目录 前言 模60计数器 Verilog HDL语言描述 测试文件 仿真波形 RTL Schematic Technology Schematic 前言 看这篇文章前,推荐先看看模10计数器和模6计数 ...

  4. 模6计数器以及模10计数器(Verilog HDL语言设计)(Modelsim仿真与ISE综合)

    目录 前言 模6计数器 Verilog HDL语言描述 测试文件 仿真电路图 RTL Schematic Technology Schematic 模10计数器 Verilog HDL语言描述 测试文 ...

  5. 通过仿真和综合认识T触发器(Verilog HDL语言描述T触发器)

    这个系列的博文已经写过了两篇,分别是通过仿真和综合认识D触发器(Verilog HDL语言描述D触发器)和通过仿真和综合认识JK触发器(Verilog HDL语言描述JK触发器),分析的方法是完全并行 ...

  6. 通过仿真和综合认识JK触发器(Verilog HDL语言描述JK触发器)

    上篇博文写了用仿真和综合来认识D触发器(通过仿真和综合认识D触发器(Verilog HDL语言描述D触发器)),这篇博文采用完全并行的方式来认识JK触发器. 让我们迅速进入正题吧. J-K触发器的Ve ...

  7. Verilog HDL语言要素

    Verilog HDL语言要素 Verilog HDL的基本要素,包括标识符.空白符.注释.数值和字符串.数据类型及运算符等. 标识符 Verilog HDL中的标识符(identifier)可以是任 ...

  8. Verilog HDL 语言基础语法

    模块的结构 (1)Verilog的基本设计单元是"模块"(block). (2)一个模块由两部分组成,一部分描述接口,另一部分描述逻辑功能. (3)每个Verilog程序包括4个主 ...

  9. 数字时钟计数器(Verilog HDL语言描述)(仿真和综合)

    目录 前言 主题 Verilog HDL设计代码 测试代码 仿真波形 ISE中综合 RTL Schematic Technology Schematic 前言 数字时钟计数器和我的前一篇博文:级联模6 ...

最新文章

  1. Spring MVC静态资源处理(转)
  2. Java学习之for语句
  3. Frobenius norm(Frobenius 范数)
  4. Appium基础:Desired Capabilities详讲
  5. 基于JAVA+SpringMVC+Mybatis+MYSQL的网上拍卖秒杀竞价系统
  6. 孙鑫MFC笔记之八--文档串行化
  7. JS代码对表格进行新增时无法解析HTML代码的解决方式
  8. How To Convert DMG To ISO on Mac OSX, Windows and Linux
  9. 基于JavaWeb的3D网上模板商城
  10. 2020 智慧旅游系统总体设计方案
  11. 计算机应用基础输入法教案,计算机应用基础教案第二讲:五笔字型输入法(中职教育).doc...
  12. 如何使用K8S实现自动化部署
  13. 怎么对电脑的DNS进行设置从而使网速更快
  14. sun服务器文件系统扩容,应用服务器侧扩容LUN(Solaris)
  15. vue+element中多选框选一个然而就全部选中了
  16. linux替换屏幕保护进程,有没有一个体面的方式来阻止linux中的屏幕保护程序?...
  17. 全网最易懂的Flink背压问题,看不懂你打我~
  18. 论文阅读 (58):Research and Implementation of Global Path Planning for Unmanned Surface Vehicle Based...
  19. 智慧停车(十五) 创业初期谈管理
  20. 博图中如何组态分布式IO

热门文章

  1. dnf mysql_CentOS7使用dnf安装mysql的方法
  2. html画布创建黑白象棋棋盘,Canvas绘制象棋棋盘
  3. 微信H5、移动端自定义弹窗事件穿透、底层页面滑动解决方案
  4. 如何看linux系统中有没有安装cuda,Linux系统CUDA10.2+CUDNN安装教程
  5. Home Assistant 开发指南
  6. 普元 EOS Platform 7.6 开发版安装时没装coframe,后续再部署coframe源码的操作步骤
  7. 网易考拉Android客户端网络模块设计
  8. 用html做简单课程表
  9. 【洛谷P1486】郁闷的出纳员【树状数组】
  10. 网校搭建9:微信登录