一、实验要求

(1) 时钟功能: 采用数码管显示累计时间,以24小时为周期。
(2) 校时功能: 能快速校准“ 时”、“分”、“ 秒”的功能。
(3) 整时报时功能: 具体要求整点前鸣叫5 次低音( 500 Hz ) , 整点时再鸣叫一次高音(1 000 Hz左右) , 共鸣叫6 响, 两次鸣叫间隔0 .5 s。
(4) 计时准确: 每天计时误差不超过10 s。

二、设计方案
采用74LS161作为计时器,分和秒利用74LS61级联构成60进制计数器,时利用74LS161构成24进制计数器;秒信号发生器由555振荡器、电容和电阻构成;校时模块用与非门与组合逻辑电路实现。整点报时电路则可利用74LS192、蜂鸣器以及逻辑门构成。

三、功能模块

  • 秒信号发生器
    本模块由555振荡器、电容、电阻组成
    具体电路图如下:
  • 时分秒计时电路
    本模块由四位二进制加法计数器74LS161和与非门、非门构成

    故六十进制计数器如下:

    如上图,将低位芯片的MR信号通过非门连接到高位芯片的clk端,即对秒信号进行了一个十分频,高位芯片每十秒计数一次,计到Q3Q2Q1Q0=0101,再来一个脉冲MR=0立即清零。整体实现了60进制计数器。

二十四进制计数器如下:

如上图,将高位的ENP、ENT分别接到低位Q0,Q3,仅当输出1001,并且再来一个脉冲的情况下,高位才会计数,满足了级联芯片的进位条件。

时分秒计数器级联如下:

  • 校时模块
    本模块主要由各种门级电路和按键组成。
    首先得有校时总按键,然后要有三个分按键,分别调节时分秒。电路如下:

    按下设置按键后电路要停止计数,再按下分按键后相应的时、分或秒应该产生一个上升沿脉冲,使相应的位数加一。具体电路如下:

    以设置分为例,未按下设置按键时,setting高电平,通过或门后minute始终为高电平,不会产生上升沿,只有当按下setting后,再按下setm才会产生上升沿,分计数器才会加一。同理,设置时也是如此。至于设置秒,按下setting后clks始终为0,计数停止;再按设置秒按键,clks变化,显然秒会加一。

  • 整点报时模块
    由要求知,要在鸣叫5 次低音( 500 Hz ) , 整点时再鸣叫一次高音(1 000 Hz左右) , 共鸣叫6 响, 两次鸣叫间隔0 .5 s。故可设计电路如下:

    开始鸣叫时,显然m5=m7=m4=m1=1,s1=s3=s5=1时,并且s8=0时SPEAKER端的信号随500Hz脉冲的变化而变化,进行低鸣叫;59:59时,SPEAKER端的信号随1KHz脉冲的变化而变化,发出高音鸣叫。

1KHz脉冲信号和500Hz脉冲信号如下:

其中1KHz信号由555振荡器产生,根据秒信号发生器计算公式,知只需将C变成0.01uF即可;500Hz信号可由74LS161构成二分频器,如上图,将Q0通过一个非门即可得到500Hz脉冲信号。

同时考虑到校时时整点报时模块不可以工作,所以将1KHz脉冲、500Hz脉冲输入做如下变换:

按下设置键后,setting=0,1K和500均为0,整点报时模块无脉冲输入

四、总体电路图

五、实验结果
校时:

正常计时:

附、下载链接
数字电子时钟

数字逻辑电路课程设计之数字电子时钟相关推荐

  1. matlab数字图像处理课程设计报告,数字图像处理课程设计实验报告.doc

    数字图像处理课程设计实验报告 数字图像处理课程设计 题 目:数字图像处理及Huufman(或小波变换)编码仿真实现 学生姓名: 学 院:信息工程学院 系 别:电子信息工程系 专 业:电子信息工程 班 ...

  2. matlab数字图像处理课程设计报告,数字图像处理初步-实验1

    MATLAB数字图像处理初步 通过实验对MatLab软件的基本使用基本的了解,学会使用MatLab软件来读取一个特定格式的图像,并通过相关的命令语句对图像进行格式转换.图像压缩.二值化等的处理,掌握利 ...

  3. 数字逻辑课程设计-数字时钟时分秒的设计

    数字逻辑课程设计-数字时钟 ------------- 课程设计的三个简单要求 1.设计一个能显示日期.小时.分钟.秒的数字电子钟,并具有整点报时的功能. 2.由晶振电路产生1HZ标准的信号.分.秒为 ...

  4. 大二c语言数电课程设计,数电课程设计报告(数字钟的设计).doc

    数电课程设计报告(数字钟的设计).doc 数电课程设计报告 设计背景与要求 设计要求 系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章 单元电路设计与分析 3.1各单元 ...

  5. 基于单片机控制的数字温度计课程设计c语言,基于单片机的数字温度计课程设计.doc...

    基于单片机的数字温度计课程设计.doc 目录1 绪论 .11.1 设计背景 .11.2 设计要求 .11.3 设计思路 .12 系统硬件设计 12.1 设计总框图 12.2 各模块功能 .22.3 设 ...

  6. 电路与数字逻辑课程设计-电子钟功能

    以下内容为我电路与数字逻辑实验的实验报告内容. 实验部分采用的是logisim实现 本实验因时间问题做的比较仓促,有许多不足之处,还请谅解. 想要完整代码的可以在我的博客资源进行下载 电路与数字逻辑课 ...

  7. matlab设计理想数字带通滤波器,基于matlab的数字带通滤波器课程设计报告

    基于matlab的数字带通滤波器课程设计报告 1 西安文理学院机械电子工程系 课程设计报告 专业班级 08级电子信息工程1班 题 目 基于 MATLAB 的数字带通滤波器 学 号 学生姓名 指导教师 ...

  8. 数字逻辑课程设计,简单的8位模型计算机verilog设计

    简单8位模型计算机 0.摘要 1.绪论 1.1 模型计算机简介 1.2 设计主要内容 1.2.1 设计指标 1.2.2 设计思路 2.系统设计 2.1模型计算机原理 2.2 模型计算机组成 2.3 模 ...

  9. android课程设计计步器,数字计步器课程设计.doc

    数字计步器课程设计 电子课程设计报告 题目名称: 数 字 计 步 器 姓 名: 杨 望 专 业: 计算机科学与技术 班 级: 090451班 学 号: 同 组 人: 徐 铁 指导教师 : 南昌航空大学 ...

  10. 数字系统课程设计——VHDL密码锁控制器

    @数字系统课程设计 VHDL密码锁控制器 VHDL语言设计的密码锁控制器,模拟仿真平台为vivado 2018.(学生:慌慌和张张) 使用器材:Nexys4 DDR Artix-7 FPGA 设计软件 ...

最新文章

  1. 2021年春季学期-信号与系统-第十一次作业参考答案-第八小题
  2. java tree类子项的添加和删除_使用Java实现二叉树的添加,删除,获取以及遍历...
  3. 基于Sql Server 2008的分布式数据库的实践
  4. Linux驱动(12)--LED驱动
  5. AS出现Error:Cause: peer not authenticated
  6. 2020中国云厂商预测:华为云、UCloud、青云、百度云、金山云等,谁是第三很重要?...
  7. c与指针 从一个字符串中提取子串_利用双指针解LeetCode第1297题:子串的最大出现次数
  8. (转)XP和Scrum到底有什么区别?
  9. 内存瓶颈和计算负载问题一举突破?韩松团队提出MUCNetV2:解锁MCU端新纪录!...
  10. 风险投资,扼杀中国互联网创新的黑手
  11. C#语法基础(二)---数组stringArrayList
  12. 牛逼程序猿的学习之路
  13. 中国电信物联网平台物理模型创建
  14. 手把手带你从0搭建一个Golang ORM框架(全)!
  15. postman更换皮肤
  16. vlan的端口隔离及端口优化——“道高一尺魔高一丈”
  17. 算法 - PNPoly解决点到多边形距离的问题
  18. Open-set Adversarial Defense with Clean-Adversarial Mutual Learning
  19. Flutter 圆形(头像)图片的 4种实现
  20. RINEX3 版本 Observation codes 介绍

热门文章

  1. 新功能,赶紧用起来,CSDN浏览器助手-脚本功能,兼容油猴脚本安装使用
  2. java web编程技术实用教程_Java Web编程技术实用教程
  3. winform窗体界面闪烁解决办法
  4. php中简单ubb案例,php Ubb代码编辑器函数代码
  5. Android 100个实例
  6. ODBC数据源的作用及配置
  7. 【SQL注入01】SQL注入漏洞简介、原理及类型
  8. 同济大学 线性代数 第六版 pdf_同济版线性代数(第六版)教材课后习题解答与综合测试...
  9. bat命令 延迟执行
  10. Python帮助文档