一、建立工程

1、打开 Quartus Prime Lite 17.0 开发环境。菜单 File->New Project Wizard.

2、 Directory, Name, Top-Level Entity 页选择合适的工程存储路径(路径不要有中文,最好不要在桌面),输入工程名和顶层实体名。
三项最好保持一致,例如:
工程存储路径 D:/shiyan
工程名 shiyan
顶层实体名 shiyan


3、Project Type 选 Empty project,即建立一个空的工程。

4、Add Files 页直接 Next。

5、Family, Device & Board Settings 页里器件 Family 选择 Cyclone IVE
(例图中选择 EP4CE15F17C8)

6、EDA Tool Settings 页里,Simulation 选择 ModelSim-Altera和VHDL。


注:示例中下载软件为 Quartus Prime Lite 17.0,且安装包自带ModelSim-Altera,所以选择此项。
如果自行下载安装其它非 Lite 版本(如 Standard 版、Pro 版等),根据实际安装的 ModelSim 版本进行选择。
7、Summary 页点 Finish,完成工程的建立。

二、代码输入

1、菜单 New -> Design Files -> VHDL File。


2、输入代码
3、保存文件为 zhidao.vhd 到之前选择的工程目录下

三、编译

如果编译只是为了做功能仿真来验证逻辑,可以直接编译。
但如果编译是为了最后下载至硬件器件中运行,则在编译前还需要做如下操作:
1、菜单 Assignments->Device,点击 Device and Pin Options。

2、在 Unused Pins 里,选择 As input tri-stated,将其它未使用的引脚状态设置为三态输入。

3、在 Task 栏里双击 Compile Design,全部绿色对勾编译通过。

四、仿真

1、菜单 Tools->Options,General->EDA Tool Options 中设置好 ModelSim-Altera 可执行程序的路径。注意不要设置为倒数第三项 ModelSim(根据使用程序所定)。

2、菜单 Processing->Start->Start Test Bench Template Writer,在工程 文 件 夹 simulation/modelsim 下 自 动 生 成 了 Test Bench 模 板shiyan.vht。

3、直接用 Quartus 打开上一步生成的文件 zhidao.vht。(File->Open 找到并打开文件,需要选择 All Files 才能看到 .vht 文件)

注:将 init:PROCESS 和 always:PROCESS 两个进程删除(从 init:PROCESS一直到 END PROCESS always)根据代码自行编写。
4、 菜单 Assignments->Settings,EDA Tool Settings->Simulation 中,在NativeLink settings 里选中 Compile test bench,点击后面的 Test Benches 按钮。

5、在弹出的 Test Benches 选项卡里点 New

6、 Test bench name 输入shiyan_vhd_tst (同vht文件中ENTITY名称)
End simulation at 设置为 1ms
最下方 Test bench files 里把 simulation/modelsim 下的shiyan.vht添加进去。点击 OK 设置完毕。


7、 菜 单 Tools->Run RTL Simulation 会自动打开 ModelSim 并运行TestBench 得到仿真结果。



8、波形仿真详情放大查看(结果如图)

Quartus17.0使用说明相关推荐

  1. protege连接mysql_Protege4.0使用说明+问题整理.doc

    Protege4.0使用说明 1 准备知识 OWL-Lite 它是OWL中句法最简单的一种子语言.对于简单的继承或者约束,它就显得非常适用.一般用于合并同类字典和简单继承.lite是清淡的意思 OWL ...

  2. 使用Quartus将用户模块封装成网表文件:Quartus17.0及之前版本.qxp文件、Quartus17.1及之后版本.qdb文件(上)

    前面一篇文章介绍了Xilinx FPGA平台下如何封装用户的源代码,形成网表文件的操作教程,具体见: 使用Vivado将包含Xilinx IP的用户模块封装成网表文件(也适用不包含Xilinx IP的 ...

  3. 使用Quartus将用户模块封装成网表文件:Quartus17.0及之前版本.qxp文件、Quartus17.1及之后版本.qdb文件(下)

    Xilinx FPGA平台下如何封装用户的源代码,形成网表文件的操作教程,具体见: 使用Vivado将包含Xilinx IP的用户模块封装成网表文件(也适用不包含Xilinx IP的用户模块) Alt ...

  4. OpenLuGl V1.0 使用说明

    欢迎访问 Lu程序设计 OpenLuGl V1.0 使用说明 目  录 1 概述 2 OpenGl简介 3 OpenLuGl的图形输出及坐标系统 4 OpenLuGl的源程序基本格式 5 例子 6 显 ...

  5. 通用Excel导入工具V1.0 使用说明

    通用Excel导入工具V1.0 使用说明 有时候我们的数据存放在Excel中(特别是对于用户来说更喜欢使用Excel收集一些常用数据),而系统又需要这些数据来处理其他业务,那么此时我们就需要将这些数据 ...

  6. SerialPort-4.0.+ 使用说明(Kotlin版本)

    SerialPort-4.0.+ 项目官网 Java版本使用说明 介绍 SerialPort 是一个开源的对 Android 蓝牙串口通信的轻量封装库,轻松解决了构建自己的串口调试APP的复杂程度,让 ...

  7. 开源跳板机(堡垒机)Jumpserver v0.2.0 使用说明

    说明视频: 用户管理: http://v.youku.com/v_show/id_XOTM5Mzc3NDE2.html 授权管理: http://v.youku.com/v_show/id_XOTM5 ...

  8. Ibatis2.0使用说明(二)——配置篇

    一. SQL Map XML 配置文件 SQL Map 使用XML 配置文件集中的配置不同的设置属性,包括DataSource 的详细配置信息,SQL Map 和其他可选属性,如线程管理等.以下是SQ ...

  9. EasyAR4.0使用说明(Unity3D)三----平面图像跟踪扩展:视频播放,涂涂乐

    播放视频 平面图像识别以后播放视频也是一种经常被用到的增强现实的表现方式.通常是截取视频第一帧的图片作为识别图片,识别以后播放视频.这样就给人一个图片动起来的错觉. 在场景中设置Main Camera ...

最新文章

  1. Crystal Reports图表(上)
  2. Releases 31 Authoritative Schedule,等10月29日发布了~~~
  3. linux中opt是啥文件夹,Linux下各文件夹的含义及解释
  4. Matlab实用程序--图形应用-交互式图形
  5. 成功解决​​​​​​​安装pywin32时出现python version 3.6 required, which was not found in the registry
  6. 【渝粤教育】国家开放大学2018年秋季 1087t数学分析专题研究 参考试题
  7. grep与egrep的区别
  8. linux中进程pts 1和pts 3,termial创建时ptmx与pts的关系
  9. 软件測试之独步武林系列(一)
  10. linux eclipse 调试程序,使用Eclipse对FFmpeg进行调试
  11. python sizeof_python 变量作用域 v.__sizeof__() python 深复制 一切皆对象 尽量减少内存消耗 赋值语句的原理...
  12. 小编带着小白看springboot源码3
  13. Java基础:继承的综合案例 —— 群主发普通红包
  14. Magento报错之SQLSTATE[23000]: Integrity constraint violation: 1062 Duplicate entry for key 1
  15. linux realtek声卡驱动下载,一步一步安装Realtek ALC888、ALC1200 声卡(附Realtek ALC888 ALC1200驱动)...
  16. Arduino驱动MAX30102踩坑记
  17. 动态规划 - 买卖股票
  18. python中的数学函数汇总
  19. 人力资源如何进行数据分析
  20. 01-linux磁盘管理-02-RAID(RAID1,RAID10,RAID01,RAID5,创建RAID,查看RAID,删除RAID)

热门文章

  1. python xpath入门_xpath 的使用
  2. 基于连通域标记的点云树木分离(点云聚类)
  3. Oracle:用_minimum_giga_scn 解决无法启动的数据库
  4. Pr:提高工作性能的设置
  5. spark-------主成分分析(PCA)
  6. R手册(Common)--tidyverse+tibble
  7. RTKLIB demo5对Glonass模糊度固定的算法优化
  8. Linux IOMMU支持
  9. win php集成开发,晒晒Windows平台上的PHP IDE
  10. kubeSphere 功能框架思维导图