前言:本章内容主要是演示Vivado下利用Verilog语言进行电路设计、仿真、综合和下载

示例:计数器与分频器

​​

  • 功能特性: 采用 Xilinx Artix-7 XC7A35T芯片 
  • 配置方式:USB-JTAG/SPI Flash
  • 高达100MHz 的内部时钟速度 
  • 存储器:2Mbit SRAM   N25Q064A SPI Flash(样图旧款为N25Q032A)
  • 通用IO:Switch :x8LED:x16Button:x5DIP:x8   通用扩展IO:32pin
  • 音视频/显示: 7段数码管:x8 VGA视频输出接口 Audio音频接口 
  • 通信接口:UART:USB转UART   Bluetooth:蓝牙模块 
  • 模拟接口: DAC:8-bit分辨率   XADC:2路12bit 1Msps ADC

目录

Ⅰ. 前置知识

0x00 二进制计数器

0x01 利用IP核构造计数器

Ⅱ. Verilog实现

0x00 分频器

0x01 时序约束


Ⅰ. 前置知识

0x00 二进制计数器

一个简单的二进制计数器通过二进制序列反复循环实现计数。以两位加法计数为例,每次时钟脉冲信号clk 为上升沿时,计数器会将计数值加1。所以计数值(由Q1Q0组成),依次是 00,01,10,11,00,11…,周而复始。在图中的波形图里,透露了这样几个信息:

[i]  一个两bit计数器,它所能计数的范围是 0~3(即22-1)。同理,n bits的计数器所能计数的范围是0~2n-1。

[ii]  如果将Q0、Q1作为单独信号线引出,由Q0、Q1得到的波形频率是时钟脉冲信号clk的1/2、1/4,亦即是将时钟脉冲信号的clk频率除2、除4。因此图示计数器又常被称为除4计数器。

[iii]  由上讨论推广可知,n bits计数器可获得的信号之多是频率除2n的结果。

参考如下源程序,试分析其分频系数:

1.

module addcounter(clk,Q) ;
input clk ;
output[1:0] Q ;
reg[1:0] Q ;
always @(posedge clk)begin
Q<=Q+1 ;
end
endmodule

2.

module counter3(clr,clk,Q)
input wire clr; input wire clk;
output reg[2:0] Q;
input wire [2:0] D;
assign D[2] = ~Q[2] & Q[1] & Q[0] | Q[2] & ~Q[1] | Q[2] & ~Q[0]
assign D[1] = ~Q[1] & Q[0] | Q[1] & ~Q[0]
assign D[0] = ~Q[0]
always @ (posedge clk or posedge clr) beginif(clr==1)  Q<=0;else   Q <=D
end
endmodule

通用型的二进制计数器一般具备更多功能,例如可以增/减计数、预置初值、同步清零、暂停等。74LS161就是一种常用的可预置4位二进制同步加法计数器。其功能表如下图表:

输入

输出

P

T

CP

D0

D1

D2

D3

Q0

Q1

Q2

Q3

0

0

0

0

0

1

0

D0

D1

D2

D3

D0

D1

D2

D3

1

1

1

1

计数

1

1

0

保持

1

1

0

保持

0x01 利用IP核构造计数器

(1)根据上述74LS161的原理和参考代码,在vivado中设计计数电路:

module CNT161( input CR, input CP, input [3:0] D , input LD, input EP, input ET, output wire [3:0] Q);
wire [3:0] Din;
reg [3:0] Dout;
assign Din=D;
assign Q=Dout;
always@(posedge CP or negedge CR)  begin
if (CR==0)  Dout<=0;
else if  (LD==0)  Dout<=Din;
else if  (LD==1 && EP==0 && ET==0)  Dout<=Dout;
else if  (LD==1 && EP==0 && ET==1)  Dout<=Dout;
else if  (LD==1 && EP==1 && ET==0)  Dout<=Dout;
else if  (LD==1 && EP==1 && ET==1)  Dout<=Dout+1;
end
endmodule  

(2)实验板时钟为100MHZ,利用计数器构造分频输出1H:

module slowClock(clk, reset, clk_1Hz);
input clk, reset;
output clk_1Hz;
reg clk_1Hz = 1'b0;  // provide initial condition for this register.
reg [27:0] counter;
// counter size calculation according to input and output frequencies
parameter sys_clk = 100000000;  // 50 MHz system clock
parameter clk_out = 1;  // 1 Hz clock output
parameter max = sys_clk / (2*clk_out); // max-counter size
always@(posedge reset or posedge clk)  beginif (reset == 1'b1)  beginclk_1Hz <= 0;counter <= 0;endelse  begincounter <= counter + 1;if ( counter == max)  begincounter <= 0;clk_1Hz <= ~clk_1Hz;endend
end
endmodule   

Ⅱ. Verilog实现

0x00 分频器

设计代码:


module slowClock(clk, reset,Q);input clk, reset;output [3:0] Q;reg [3:0] Q = 4'b0000;// provide initial condition for this register.reg [27:0] counter;// counter size calculation according to input and output frequencies
parameter sys_clk = 1;
parameter clk_out = 1;
parameter max = sys_clk / (2*clk_out); // max-counter sizealways@(posedge reset or posedge clk)  beginif (reset == 1'b1)  beginQ <= 0;counter <= 0;endelse  begincounter <= counter + 1;if (counter == max)  begincounter <= 0;Q<=Q+4'b0001;endendend
endmodule

❗ 注:

parameter sys_clk = 1;
parameter clk_out = 1;
parameter max = sys_clk / (2*clk_out); // max-counter size

是根据EGO1板子的频率编写的,不同的板子可用设置不同的分频

仿真代码:

module sim_slowClock();reg clk ,reset;wire [3:0] Q;slowClock test(.clk(clk),.reset(reset),.Q(Q));initial clk=0;initial reset=1;always begin#10;clk=~clk;reset=0;end
endmodule

在Vivado中点击”RTL ANALYSIS->Open Elaborated Design”,可以查看综合得到的逻辑电路,如图所示:

仿真代码:

  • 从图中可以看出上升沿有效且为异步电路;
  • reset高电平有效,一开始为1,进行置数,置为0000;
  • 从波形图可以看出为十六分频;

0x01 时序约束

由于需要时钟信号,所以还要进行时序约束。

【a】选择在Flow Navigator 中选择Synthesis > Synthesized Design > Edit Timing Constraints。

【b】打开时序约束界面,开始进行时序约束。

【c】双击左边Clock->Create Clock,进入Create Clock界面,在Clock name中输入clk_pin。在Source objects中选择右边的按钮。

【d】在Specify Clock Source Object中Find names of type选择I/O Ports后点击Find,并将查找到的cp选中,如图:

完成选择后点击Set。对话框切换至如图:

点击ok完成时钟创建,结果参考下图:

【e】设置Input Setup Delay ,双击左边Input->Input Setup Delay,如图:

进入Set Input Delay,按照下图配置:Clock选择clk_pin,Objects选择rst,Delay选择0 ns。完成设置后点击OK。

【f】接下来将设置Delay value specifies <min/max> delay,双击左边Input->Input Setup Delay。

将Clock选择为clk_pin、Objects选择rst、Delays value选择-0.5 ns、并选中Delay value specifies,

Delay设置成min。完成设置后点击ok。

【g】接下来设置Output Delay,双击左边Output->Set Output Delay。Clock选择clk_pin、Objects选择所有输出,Delay value设置为0ns。

【h】完成以上约束后可以在All Constraints看到如下约束结果。选择File->Save Constraints将设置的约束保存。

这时候如果打开Sources界面中的constraints,就可以看到XDC文件中增加了约束条件。

【i】在Flow Navigator中选择Synthesized Design ->Report Timing Summary。并将Options标签里将Path delay type设置成min_max。

再次综合后查看时序报告,如果在报告中看到有地方显示了红色,说明时序约束后,需求没有满足。然后在进行Implementation的时候,Vivado会自动优化布线路径,来满足用户设定的约束时间。如果在Implementation中还是显示无法满足,则需要分析电路进行进一步约束。

【FPGA】Verilog:时序电路设计 | 二进制计数器 | 计数器 | 分频器 | 时序约束相关推荐

  1. 漫谈时序设计(3)走进时序约束的大门!

    目录 前言 Intra-Clock&Inter-Clock Paths 时序约束 主时钟约束 衍生时钟约束 延迟约束 伪路径约束 多周期路径约束 写在最后 前言 为了秋招,对时序分析做了一些准 ...

  2. 【 Verilog HDL 】清晰的时序逻辑描述方法之计数器的描述范例

    所谓清晰,就是便于阅读与理解,如下HDL代码所描述的电路就是清晰的时序逻辑电路,对应计数器的功能: always@( posedge clk ) beginif( rst )begincount &l ...

  3. Verilog时序电路设计指南

    Verilog时序电路设计指南 没有时序电路设计准则的RTL设计可能会导致性能低下. 本文关键指南包括在顺序设计中使用非阻塞赋值.使用同步复位和时钟选通.详细描述了在设计中使用流水线阶段的指南,这些指 ...

  4. 同步电路出现异步清零可以吗_异步计数器 || 计数器的分类 ||异步二进制十进制|| 74290 8421 5421 || 数电...

    异步计数器 || 计数器的分类 || 异步 二进制 十进制 || 74290 || 数电 这一节介绍异步二进制计数器. 计数器功能: 计数器是对输入脉冲个数进行计数的时序电路. 计数器除了直接用于计数 ...

  5. jk触发器改为四进制_异步计数器 || 计数器的分类 ||异步二进制十进制|| 74290 8421 5421 || 数电...

    异步计数器 || 计数器的分类 || 异步 二进制 十进制 || 74290 || 数电 这一节介绍异步二进制计数器. 计数器功能: 计数器是对输入脉冲个数进行计数的时序电路. 计数器除了直接用于计数 ...

  6. hdl四位二进制计数器_quartus4位二进制加减法计数器.doc

    贵州大学实验报告 学院: 专业: 班级 姓名学号实验组实验时间指导教师成绩实验项目名称4位二进制加减法计数器实验目的了解二进制加减法计数器的设计,进一步了解,熟悉和掌握quartusII的使用方法 学 ...

  7. 利用Quartus设计4位同步二进制加法计数器

    一.设计原理 4位同步二进制加法计数器的工作原理是指当时钟信号clk的上升沿到来时,且复位信号clr低电平有效时,就把计数器的状态清0. 在clr复位信号无效(即此时高电平有效)的前提下,当clk的上 ...

  8. (88)FPGA面试题-使用Verilog HDL编写二进制转格雷码

    1.1 FPGA面试题-使用Verilog HDL编写二进制转格雷码 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-使用Verilog HDL编写二进制 ...

  9. quartus仿真27:JK触发器构成的同步二进制加法计数器(分析)

    计数器不需要外部输入,摩尔型电路 二进制加法计数器没有多余状态,4个触发器模为2^4=16 JK触发器两端相连构成一个T触发器,当Q3Q2Q1Q0=1111时输出一个脉冲.状态转移图可以自己写出,00 ...

最新文章

  1. leetcode 838. Push Dominoes | 838. 推多米诺(分析每个状态)
  2. 【SQL Server 学习系列】-- sql 随机生成中文名字
  3. MySQL利用磁盘缓存写入_MySQL写入缓冲区在数据库中的作用( Change Buffer )
  4. iOS 横竖屏适配 ---masonry
  5. MySQL sql语句字段截取前几位,后几位等
  6. flowable工作流_使用Bash Shell实现flowable配置文件修改定制
  7. java 快排_总结Java中的排序算法:选择排序amp;快排amp;堆排序amp;归并排序(后附视频讲解)...
  8. 电脑qq浏览器怎么滚动截长图_电脑怎么快速截图?
  9. 千脑跳转页面下的安全分析
  10. Linux中缺32位运行库steam,Steam游戏必备运行库
  11. 什么是UDS诊断协议?
  12. linux 触控板 不识别,关于Ubuntu下触摸板失灵的解决方法一例
  13. K均值算法(K-means)
  14. 《算法技术手册》一1.3.5 融会贯通
  15. LWN:在进程级别完成内核相同页面合并(KSM)控制!
  16. NIONetty的使用与分析
  17. 算法学习:134. 加油站
  18. C语言实例8——古典问题:兔子问题
  19. nefuoj 9 喜洋洋
  20. matlab雷诺曲线,关于用有限差分法解雷诺方程

热门文章

  1. android点击按钮弹出图片,用android做的一个简单的点击按钮显示图片的程序
  2. 青岛联通大数据助建智能交通平台
  3. man爆“胡人抗”太撩了!全新动作表演对抗赛PoseBattle首次来袭
  4. ZEMAX | 量化眩光效应 (Veiling Glare)
  5. “城市轨道交通 互联网”助推智慧交通发展
  6. KUKA机器人与S7-300 PLC进行PROFINET通信的具体方法和步骤(非常详细)
  7. java8 Stream流
  8. Scala知识点总结-思维导图
  9. excel 循环例子
  10. STM8S903K3T6C基于ST Visual Develop开发输入输出按键检测示例