注:本篇文章由【开源骚客:OpenSoc】公众号首发,现转载至头条号。

新的开始,这一篇文章,就算是使用 Vitis 开发 ZYNQ 的第一篇文章吧。

计划把 ZYNQ 开发写成一个系列,所使用的的软件版本为 Vitis 2019.2,硬件平台为 EBAZ4205 矿机板。

最新的软件平台配最便宜的硬件平台,哈哈哈!

软件版本可以说是最新的,选择最新,意味着这系列文章过几年后,依然不过时。硬件平台,可以算是在 ZYNQ 开发板里面最便宜的,最便宜,意味着大多数都买得起!

作为第一篇文章,暂时先不涉及 ZYNQ 的 PS 部分,以传统 FPGA 的方式来做个小实验,在 PL 端实现按键控制 LED。

当然这个小实验也可以作为验证下 EBAZ4205 转接板的好坏。

1.准备工作

EBAZ4205 矿机板本身,在 PL 端是没有焊接晶振的,但留出了使用晶振的位置。所以单纯使用 PL 端进行开发的话,咱们得先把板子上的晶振焊上。

PL晶振原理图部分

在 X5 这个位置,我焊了一个3225封装的 50M 晶振,L29的位置焊了一个 0R 电阻,R1372 焊了一个 33R 的电阻,C364 的位置焊了一个100nF 的电容,改动的地方就这几个。

这里需要注意的是,L29在背面,当时还找了挺久的一会,没电感,直接用0R电阻代替了,如果想更狠一点,用焊锡直接把 L29 连上也行!

2.Vivado 创建工程

对于 vivado 创建工程的步骤,就不详细介绍了。写这些步骤,截图太多,效率太低了。之后还是计划对这系列的文字版教程,录个配套的视频,在视频里面,跟着做,来得更直接。

代码如下:

工程代码

其功能,最终就是实现按键按一次,LED 的状态反转一下,当然内部也有按键的消抖。

相关的引脚约束信息如下:

引脚约束信息

3.让工程编译的速度更快些

在 TCL 输入框中输入 set_param general.maxThreads 8,可以让编译的速度提升,vivado 默认的线程数是2。

我总共花的时间是 1分半钟 还不到,大家可以自行比较一下在设置了最大线程的状态下,与没有设置之前的编译时间会快多少。这里我就不演示了。

这一点设置非常有意义哦,大家千万别小看了它。

另外一点,矿机板默认是没有 X5 这个晶振的,那没有这个晶振,如何来实现本文中同样的功能呢?

下一篇文章,将讲解从 ZYNQ 的 PS 端引出时钟给 PL 端使用,敬请关注!

petalinux zynq spi_ZYNQ 系列 01 | PL 实现按键控制 LED(1)相关推荐

  1. 奋斗的小孩系列 FPGA学习altera系列: 第十篇 按键控制LED

    奋斗的小孩系列 FPGA学习altera系列: 第十篇 按键控制LED 作者:奋斗的小孩 郝旭帅(转载请注明出处) 大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分.大侠可以关注FPGA技术江 ...

  2. ZYNQ学习笔记(五)---按键控制LED灯亮灭实验

    这个实验其实很早就做了,但是由于这段时间自己一直在忙一些其他的事所以没有及时更新.今天抽出个空来更新一下.本次实验是关于按键控制LED亮灭.其中涉及到的内容有计数器.按键消抖以及一些简单的逻辑. 1. ...

  3. zynq开发系列5:通过AXI GPIO的中断实现PL端按键控制PS端LED(SDK开发详解)

    axi_gpio是PL端gpio(FPGA资源搭建的软核),ps7_gpio是ps端gpio(硬核).打开Documentation的示例Examples,可知第二个是关于中断的示例.导入示例impo ...

  4. 【ZYNQ】从入门到秃头05 LED闪烁实验 按键控制LED实验Verilog(PL)

    文章目录 LED闪烁实验Verilog(PL) 硬件设计 程序设计 创建Verilog HDL文件 编写Verilog 添加管脚约束 添加时序约束 生成BIT文件 Vivado仿真 下载 按键控制LE ...

  5. zynq开发系列4:MIO按键中断控制LED

    与实验三类似 zynq开发系列3:GPIO连接MIO通过按键控制LED灯亮起 ,PS部分设置为与实验一helloword相同的最小系统,但按键控制LED的方式设置为中断,即通过按下按键为中断这一方式从 ...

  6. zynq开发系列3:GPIO连接MIO通过按键控制LED灯亮起

    按键和LED由MIO连接,在PS端用按键控制LED,按键按下时发出信号,LED接收到信号后亮起 可以参考上一节实验 zynq开发系列2:GPIO连接MIO控制LED闪烁 的流程和代码,在vivado操 ...

  7. ZYNQ学习笔记_GPIO实例:通过EMIO实现按键控制LED

    ZYNQ学习笔记_GPIO实例:通过EMIO实现按键控制LED 总体思路 Block Design界面配置IP核并导出硬件配置 在SDK中编写C代码 下载PS和PL的联合工程到开发板 总体思路 首先需 ...

  8. zigbee CC2530 系列教程 4 按键控制LED实验

    所有课程见此链接: zigbee CC2530 系列教程 0 课程介绍 4.3按键控制LED实验 4.3.1 实验目的 了解使用按键方法,使用按键切换LED的状态. 4.3.2 实验讲解 首先根据开发 ...

  9. STM32F103系列之按键控制LED灯

    上篇已经介绍了点灯的操作了,本篇主要介绍按键控制LED灯进行相关操作. 同样,我们需要对GPIO进行相关的初始化配置,这里我们将LED相关的代码全部放在LED.C和LED.H文件里面. LED.C文件 ...

最新文章

  1. 为什么你问问题,别人都已读不回?
  2. 编程方法学12:枚举
  3. SecureCRT突然假死的问题(Ctrl+S)
  4. Struts2运行机制(MVC)的分析:
  5. 神经计算棒 [Error 5] Toolkit Error: Stage Details Not Supported: Transpose
  6. 【Spark】Spark Stream读取kafka写入kafka报错 AbstractMethodError
  7. learn go function callback
  8. Effective STL中文版 译序
  9. 软件 规则引擎_如何设计软件规则引擎
  10. 浅析C/S、B/S与P2P架构
  11. 回顾|伍鸣博士出席《华人之光-世界瞩目的华人 Web3 项目》圆桌论坛
  12. 杨三角超强组织能力11-12讲
  13. 小坤二次元炫酷导航HTML源码
  14. 3w最简单led灯电路图_三种常用的LED驱动电源电路图详解
  15. 秒赚大钱_容易记住,赚大钱
  16. 机电开关的全球与中国市场2022-2028年:技术、参与者、趋势、市场规模及占有率研究报告
  17. 【TSCH概述/CONTIKI】
  18. CAS4.0 4.1 服务器端搭建(一)
  19. Mysql数据库高CPU问题定位和优化
  20. python爬虫——scrapy的使用

热门文章

  1. 开发转运维有什么好点的理由_企业微信SCRM哪家好点?
  2. 第一个python解释器_第一个python程序
  3. html 的empty属性,你未必知道的CSS小知识:你知道table里的empty-cells属性吗?
  4. linux 打开文件表 文件描述符,文件描述符-mjsc1023-ChinaUnix博客
  5. oss导出数据为空时怎么处理_数据库导出时有空表导不出的解决方法
  6. 4.1 陷入不归路的调试-机器学习笔记-斯坦福吴恩达教授
  7. linux svn强制注释,svn强制提交时添加注释
  8. 二十二、linux定时器
  9. 设置elf文件链接库的路径
  10. centos7防火墙开放端口