最近设计了一个项目基于单片机的路灯系统,与大家分享一下:

一、基本介绍

项目名:路灯
项目编号:mcuclub-cl-017
单片机类型:STC89C52、STM32F103C8T6
具体功能:
1、通过光敏电阻检测当前环境是否过暗(触发型光敏电阻)
2、通过人体热释电感应模块检测是否有移动的物体
3、当环境过暗并且有移动物体时,自动打开路灯(USB插头灯模拟)
4、在灯下绑一个光敏电阻(触发型光敏电阻),检测路灯是否损坏,如果损坏,通过zigbee每分钟发送“路灯***已损坏,请速速查看”。
5、通过4位拨码开关可设置路灯编号
6、通过另一个zigbee插在电脑端,通过串口调试助手,可收到损坏路灯的数据,并下发数据(路灯编号),表明已经知道,这样数据才不会一直发送。

二、51实物图

单片机型号:STC89C52

板子为绿色PCB板,两层板,厚度1.2,上下覆铜接地。元器件基本上为插针式,个别降压芯片会使用贴片式。

供电接口:TYPE-C

三、51仿真图

仿真软件版本:proteus8.9

电路连线方式:网络标号连线方式

注意:部分实物元器件仿真中没有,仿真中会用其他工作原理相似的元件代替,这样可能导致实物程序和仿真程序不一样

四、32实物图

单片机型号:STM32F103C8T6

板子为绿色PCB板,两层板,厚度1.2,上下覆铜接地。元器件基本上为插针式,个别降压芯片会使用贴片式。

供电接口:TYPE-C

五、原理图

软件版本:AD2013

电路连线方式:网络标号连线方式

注意:原理图只是画出了模块的引脚图,而并不是模块的内部结构原理图

六、PCB图

由原理图导出,封装很大一部分都是作者自己绘制,不提供封装库,只提供连接好的源文件。中间有一个项目编号,隐藏在单片机底座下,插入单片机后不会看到。

两层板,上下覆铜接地。

七、系统框图

本设计以单片机为核心控制器,加上其他模块一起组成此次设计路灯的整个系统,其中包括中控部分、输入部分和输出部分。中控部分采用了单片机控制器,其主要作用是获取输入部分的数据,经过内部处理,逻辑判断,最终控制输出部分。输入由四部分组成,第一部分是光敏检测模块,通过该模块检测当前环境的光照强度及其当前LED灯是否出现问题;第二部分是人体热释电,通过该模块监测是否有人;第三部分是拨码开关,通过拨码开关查看路灯编号;第四部分是供电模块,通过该模块可给整个系统进行供电。输出由两部分组成,第一部分是LED灯照明电路;第二部分是Zigbee模块,当监测到路灯损坏时,通过该模块给手机发送信息。具体系统框图如图所示。

八、软件设计流程

系统的主流程图如图所示。在主程序中:首先对各个模块进行初始化,随后进入while主循环,在主循环中,首先进入第一个函数监测函数,通过该函数监测当前路灯的情况,监测到路灯顺坏,将信息发送到手机;然后进入第二个函数处理函数,如果光照较低,且人体触发,开灯,监测到路灯损坏,如果没有检测到灯亮且没有收到应答,开启发送信息的定时。

九、部分程序展示

软件版本:keil5

逻辑程序和驱动程序分开,分布于main.c和其他.c文件

void Manage_function(void)
{if(CHECK_L == 1 && CHECK_H == 0)       //如果光照较低,且人体触发,开灯{if(LED == 1){LED = 0;Delay_function(500);}LED = 0;if(CHECK_S == 1)                                    //如果没有检测到灯亮且没有收到应答,开启发送信息的定时{if(flag_reply == 0){if(flag_timer_begin == 0){flag_timer_begin = 1;serial_number = 0;if(SWITCH_1 == 0){serial_number+=8;}if(SWITCH_2 == 0){serial_number+=4;}if(SWITCH_3 == 0){serial_number+=2;}if(SWITCH_4 == 0){serial_number+=1;}sprintf(send_buf,"\xFC\x10\x01\x02 路灯%02d已损坏 \0",serial_number);Uart_Sent_Str(send_buf);}}}else{flag_reply = 0;flag_timer_begin = 0;}}else{LED = 1;}if(flag_60s == 1){flag_60s = 0;serial_number = 0;if(SWITCH_1 == 0){serial_number+=8;}if(SWITCH_2 == 0){serial_number+=4;}if(SWITCH_3 == 0){serial_number+=2;}if(SWITCH_4 == 0){serial_number+=1;}sprintf(send_buf,"\xFC\x10\x01\x02 路灯%02d已损坏 \0",serial_number);Uart_Sent_Str(send_buf);}
}

【单片机毕业设计】【mcuclub-cl-017】基于单片机的路灯的设计相关推荐

  1. 【分享】基于单片机嵌入式的家用智能节水淋浴控制器的设计-基于单片机的电子贺卡控制系统设计-基于单片机的倒计时牌控制系统设计-基于单片机的彩灯控制器系统设计-多模式彩灯-单片机的八路路数字电压表控制设计

    1334基于单片机嵌入式的家用智能节水淋浴控制器的设计-全套毕设课设设计资料 三个ds18b20分别采集进水口热水  冷水 和温水的水温,然后分别显示出来,按下开始按键,系统初始化,自动设置出水温度为 ...

  2. 单片机声光电子琴程序流程图_基于单片机的智能家居控制系统毕业设计

    次毕业设计主要包括硬件端和软件端,硬件端功能如下: 使用 DS1302 模块产生时钟数据并实现时间和日历实时显示: 使用 DS18B20 模块检测周围环境温度并实时显示: 使用烟雾和光敏传感器模块对房 ...

  3. 【设计方案分享】基于单片机温度监测监控报警系统设计-基于单片机钞票自动智能识别系统设计-基于单片机乒乓球游戏机控制系统设计-基于单片机温度监测监控报警系统设计-基于单片机矩阵键盘的电子密码锁设计

    820基于单片机温度监测监控报警系统设计-设计资料 温度监测器功能描述: 1.主控芯片用的是51单片机(STC89C51). 2.使用温度传感器DS18b20采集温度. 3.用1602液晶显示显示温度 ...

  4. 基于单片机乒乓球游戏机控制系统设计-基于单片机矩阵键盘的电子密码锁设计-基于单片机温度监测监控报警系统设计-基于单片机钞票自动智能识别系统设计-设计资料【转发分享】

    819基于单片机乒乓球游戏机控制系统设计-设计资料下载 乒乓球游戏机设计任务为: (1)使用乒乓游戏机的甲乙双方各在不同的位置发球或击球. (2)乒乓球的位置和移动方向由灯亮及依次点燃的方向决定,球移 ...

  5. 基于单片机c语言的豆浆机,基于单片机的智能豆浆机控制系统设计(附实物图,电路原理图,程序)...

    基于单片机的智能豆浆机控制系统设计(附实物图,电路原理图,程序)(任务书,开题报告,中期检查表,毕业论文11800字) 摘要 由于市面上的豆浆机不具有长时间保温的功能,打浆完成后残渣很多,而且打浆用时 ...

  6. 【电路方案】基于单片机智能市电温度控制系统设计-基于单片机RGB颜色智能识别系统设计-基于单片机四路红外遥控开关电路设计-基于单片机自行车自动防盗报警系统设计-基于单片机智能无线病床呼叫系统设计

    822基于单片机智能无线病床呼叫系统设计-设计资料下载 硬件构成:单片机+最小系统+LCD1602液晶显示模块+无线收发模块+蜂鸣器模块+LED指示灯模块+按键模块 本设计基于STC89C51/52( ...

  7. 单片机蜂鸣器编程音乐_基于单片机的智能鱼缸温控系统设计

    曹益豪 聊城大学东昌学院机电工程系 山东 聊城 252000 摘  要:为满足热带鱼的饲养要求,设计了一种基于AT89C52单片机的小型智能温控鱼缸系统.该系统利用DS18B20传感器检测水温,并通过 ...

  8. 基于单片机步进电机ppt答辩_基于单片机的步进电机式汽车仪表的设计(含电路原理图,程序)...

    基于单片机的步进电机式汽车仪表的设计(含电路原理图,程序)(课题申报表,任务书,开题报告,中期检查表,外文翻译,论文21000字,程序,答辩PPT) 摘 要 汽车仪表是驾驶者和汽车的交互界面,为驾驶员 ...

  9. diy 单片机 自动浇花_基于单片机的自动浇花系统

    摘要 很多家庭都种植有令人赏心悦目的花卉,但是当主人外出几天时,为避免这些花卉处于无人浇水的境地,所以设计一种自动浇花器十分必要的.本系统采用51单片机STC12C5A60S2作为核心控制器,用土壤湿 ...

  10. 基于单片机的TLC稳压电源系统设计-基于单片机大脑运算能力智力测试仪-基于单片机超声波测距系统仿真设计(报告 PCB 原理图)-基于单片机超高精度电参数测试设计-基于单片机变电站变压器运行参数监测仿真

    1316基于单片机的TLC稳压电源系统设计-毕设课设仿真资料 三极管射极电压是稳压电源的输出电压,可以接用电器或负载,这个电压值通过TLC549(A/D,同TLC548)数据转换后,送往单片机处理并显 ...

最新文章

  1. Linux内存管理 - 页表的映射过程初步了解
  2. 315道Python常见面试题
  3. Java IO框架总揽--ObjectInputStream ObjectOutputStream源码解读
  4. sourcetree,创建工作流报错:Fatal: Not a gitflow-enabled repo yet. Please run 'git flow init' first.-》解决办法...
  5. SSH整合注解版(Spring+Struts2+Hibernate)
  6. VS2005 ASP.NET2.0安装项目的制作(包括数据库创建、站点创建、IIS属性修改、Web.Config文件修改)
  7. 删除字符串中重复的字符
  8. SpringCloud工作笔记087---SpringBoot启动报错:IDEA 错误: 找不到或无法加载主类 解决方法_connected to the target VM, address:
  9. 添加到界面前获取尺寸
  10. SqlServr性能优化性能之层次结构(十五)
  11. Jpcap包的安装与配置
  12. C语言实现2048游戏
  13. Dynamips和Vmware完成CCVP试验(6)
  14. 世界500强面试题----反应能力
  15. axure 折线图部件_在Axure中怎么做柱状图、折线图啊?
  16. Industry工业软件开发平台设计A-1
  17. 步进电机 高速光耦_高速光耦有哪些_高速光耦如何选型 - 全文
  18. sim3在orbslam2与gis中的应用
  19. 入侵WIN2003 PHP服务器的另类技术
  20. JAVA操作共享文件夹文件、下载、读取(windows、Linux通用)

热门文章

  1. FreeModbus-移植到stm32f103
  2. idc机房运维的相关介绍以及发展方向
  3. 修复登录接口版最新知识付费小程序源码下载-独立后台版本
  4. Jmeter压力测试报告案例
  5. vue 日期时间选择器_Vue日期时间选择器
  6. 编曲软件哪个好用-哪个好上手
  7. php 获取用户访问真实IP方法
  8. echarts设置饼状图的标示线以及标示文字的颜色等相关样式
  9. NAXX Demo4_GZQ_02
  10. C语言疑难点汇总解析