1.带clocking block 的interface

2.packet(transaction)

分为ipacket(interface的输入)和opacket(interface的输出)

3.driver:

其中定义了一些功能覆盖率

 4.iMonitor:

master_agent中的monitor,用于监控DUT的输入

5.master_agent

其中封装了sequencer、driver、monitor

并将sequencer与driver连接起来

6.oMonitor

slave_agent中的monitor,监控DUT的输出

7.slave_agent

仅仅封装了oMonitor

8.sram_env

其中包含了master_agent,slave_agent,ref_model,scoreboard

9.ref_model

10.scoreboard

11.base_test

12.tb_top

13.sequence

搭建好环境后写个简单的sequence去跑:写个数,读个数

跑出波形如下图

第一个clk,控制信号使能(hsel,hwrite,hready,haddr)

第二个clk,数据有效(hready有效的前提下),给sram_addr[14:2] = aa地址写了32‘haaaa_aaaa

读操作

第一个clk,控制信号使能(hwrite拉低,地址依旧为sram_addr[14:2] = aa),因此在下一拍读出数据hrdata = 32‘haaaa_aaaa

14.Makefile

AHB-SRAMC UVM验证平台搭建相关推荐

  1. UVM验证平台搭建三:spi_mst_agent-spi_slv_agent

    UVM验证平台搭建三:spi_mst_agent/spi_slv_agent 一.概述 二.spi mst transaction 三.spi mst sequencer 四.spi mst driv ...

  2. UVM验证平台搭建一:reg model 生成

    UVM验证平台搭建一:reg model 生成 一.概述 二.寄存器描述表格 三.生成.ralf寄存器文件 四.ralgen生成寄存器模型 一.概述 在做验证时,寄存器模型是验证平台中必不可少的,而且 ...

  3. (3)UVM验证平台搭建之介绍

    年轻人的第一个UVM验证平台搭建之介绍 验证平台的组成 UVM验证平台的框图 验证平台介绍 目录 验证平台的组成 验证用于找出DUT中的bug,这个过程通常是把DUT放入一个验证平台中来实现的.一个验 ...

  4. (一)UVM验证平台搭建1

    UVM实战中实例源码下载:https://www.hzcourse.com/web/refbook/detail/5651/229 一.环境配置 终于配置跑通 UVM实战中的实例了,下面是基于linu ...

  5. 从零开始,搭建一个简单的UVM验证平台(一)

    前言: 这篇系列将从0开始搭建一个UVM验证平台,来帮助一些学习了SV和UVM知识,但对搭建完整的验证环境没有概念的朋友. UVM前置基础: 1.UVM基础-factory机制.phase机制 2.U ...

  6. 基于AHB Slave设计及验证平台搭建

    基于AHB Slave设计及验证平台搭建 1.模块描述 2.设计划分 2.1Slave模块 2.2Clac模块 2.3TOP模块 3.目录规划 1.模块描述 完成一个AHB上的Slave模块,该模块主 ...

  7. UART UVM验证平台平台搭建总结

    UART UVM验证平台平台搭建总结 tb_top是整个UVM验证平台的最顶层:tb_top中例化dut,提供时钟和复位信号,定义接口以及设置driver和monitor的virual interfa ...

  8. 从VHDL到UVM验证平台转变的优点评估

    路科验证官网:路科验证 - 专注于数字芯片验证的系统思想和前沿工程领域 EETOP路科首页: EETOP - 路科验证 - IC验证培训 CSDN路科首页:CSDN - 路科验证 - IC验证培训 由 ...

  9. 诙谐有趣的《UVM实战》笔记——第二章 一个简单的UVM验证平台

    前言 某天白天在地铁上听鬼故事,结果晚上要睡觉时,故事里的情节都历历在目,给我鸡皮疙瘩起的~ 不过我倒是没有吓得睡不着,而是转念一想,为啥我学知识忘得很快,随便听的鬼故事却记得这么清楚咧? 那如果能像 ...

最新文章

  1. 绝对路径与相对路径的区别【2】--release和debug这两种情况下对本地文件操作不一致的问题...
  2. VMware试验问题总结
  3. 三个分级基金(银华100、申万深成、国联双禧)对比图(zz from Fund@newsmth)
  4. hello-world
  5. spark常用RDD算子 汇总(java和scala版本)
  6. 如何在Mac OS X 10.6.4上卸载Python 2.7?
  7. java课程设计报告书_java课程设计报告书模板
  8. TV直播app TV版 超级直播 空壳 可玩性强 带EPG 带回看 带自定义 定制可带自定义协议等
  9. 《5分钟商学院》--读书笔记
  10. hdu 5053 水
  11. linux如何装输入法,如何在linux下安装智能拼音输入法
  12. 帝国cms系统使用初级教程一(较全面)
  13. STM32 四轴无人机设计——遥控器PPM信号
  14. JAVA我的世界给op_我的世界OP指令有哪些 OP权限怎么设置
  15. 『WEB』web学习
  16. ChatGPT专业应用:生成行业分析报告
  17. Socket英文解释
  18. 手工测试点点点,测着测着,找前台小姐姐看电影去了···
  19. 最小二乘法,简明公式整理,数学证明,matlab程序(自写代码、lsqcurvefit函数、fminsearch函数)
  20. 你看好我国网约车生态吗?

热门文章

  1. 《具身认知》听书笔记
  2. android 环形时间显示_Android_Android实现自定义圆形进度条,今天无意中发现一个圆形进度 - phpStudy...
  3. 凭借这份Java面试题集,docker镜像源无效
  4. JS轮播图(左右箭头切换、按钮切换、自动轮播)
  5. 【转载】深入理解L1、L2范数
  6. plt.figure、plt.subplot介绍以及绘制图中图(含代码)
  7. 箱子布局攻略 (HBox/VBox Layout)
  8. 华为云HCSD校园沙龙走进湖北经济学院,促进产教融合,助力学生成长!
  9. html语言中p和br,br与p标签区别
  10. Spark内存泄露问题分析追查