在一些状态机逻辑进行仿真验证时,发生了仿真卡住的问题,当仿真到达一定时间会一直在这个时间仿真,不继续进行也不停止仿真,也不会报错。

这一问题我发现了两种不同的产生情况,第一种是代码编写时产生逻辑环,在某一时刻会循环改变逻辑值,导致亚稳态;第二种是testbench给的驱动变化恰好在某一时钟上升沿,而tb中用延时写的驱动变化时间都是最早的,也就是说虽然该驱动变化和时钟上升沿是同一时刻的,但是该驱动变化在仿真中被认为是比这一时钟上升沿要更早到达的。因此此时设计逻辑恰好产生了glitch,可以直观的理解为未满足保持时间,导致寄存器输出和组合逻辑在时钟沿互相触发,导致亚稳态。

第一种问题还比较好发现,我是在用计数器控制状态机跳转时,让状态机的逻辑输出控制计数器逻辑赋值,同时又让计数器逻辑赋值控制状态机跳转,导致了逻辑环。

第二个问题可能就比较奇怪了,因为在仿真时会产生意想不到的波形结果。比如在同一时刻状态机组合逻辑结果next_state和寄存器输出current_state同时变化。这一现象我认为应该是因为tb驱动在时钟上升沿之前恰好到来,next_state作为组合逻辑会在时钟上升沿之前就跟随驱动变化,然后时钟上升沿到来,current_state就会随next_state变化而变化。但是因为current_state又驱动了next_state,如果恰好下一状态中状态跳转条件满足,那么时钟上升沿到来时current_state的变化又会带动next_state变化。那总的来说在本次时钟上升沿发生的事件就是:驱动跳变->next_state->current_state->next_state->......,如果后续几个状态的t跳转条件都满足的话,current_state和next_state将在多个值之间跳变,也就是产生了亚稳态。之后current_state的亚稳态又会扩散到状态机的输出逻辑上,直接导致逻辑输出全面崩盘。

反映到波形仿真上就是,到达该时间点后仿真卡住,但是还是一直在跑,然后取消仿真后看这一时间点next_state和current_state的值竟然同时跳转到了相同的值,感觉应该是无法表达后续的亚稳态情况所以就直接在波形中保存了最初变化的值,直观上来说就是逻辑结果穿透了current_state寄存器,也就是不满足保持时间,虽然仿真中没有时序的概念。

vivado 亚稳态_Vivado仿真在某时刻卡住问题相关推荐

  1. vivado 亚稳态_VIVADO时序约束及STA基础

    一.前言 无论是FPGA应用开发还是数字IC设计,时序约束和静态时序分析(STA)都是十分重要的设计环节.在FPGA设计中,可以在综合后和实现后进行STA来查看设计是否能满足时序上的要求.本文阐述基本 ...

  2. Vivado级联Modelsim仿真Re-launch问题

    Vivado级联Modelsim仿真出现修改设计代码后重新run do文件,波形没有随着代码修改而改变,这个问题博主之前没有注意到,因为把Vivado和Modelsim级联好后还没有试过仿真过,不过用 ...

  3. Vivado生成及仿真网表文件

    Vivado生成及仿真网表文件 1- 将子模块设置为顶层模块 - 右键Set as top 2-将该顶层模块设置综合属性 Options中将-faltten_hierarchy设置为full属性(意思 ...

  4. vivado使用modelsim仿真

    vivado使用modelsim仿真 vivado 修改vivado默认仿真器 将vivado中的IP编译成modelsim库 modelsim 效果 vivado 修改vivado默认仿真器 将to ...

  5. vivado 亚稳态_【DNN Weaver FPGA实现】Vivado BRAM资源使用

    1.BRAM配置测试 我们知道Vivado中BRAM大小分为18K和36K两种,这两种BRAM在何种配置下会如何分配资源,需要进行一定的考量.由于Vivado可以配置生成任意bit数的IO位宽,所以我 ...

  6. Vivado联合ModelSim仿真设置(附图步骤)

    目录 1.在Vivado中生成lib 2.生成库的选择 3.点击Compile,即可开始生成库文件 4.在Vivado中添加ModelSim调用设置 5.将Vivado的仿真库添加到ModelSim中 ...

  7. vivado 亚稳态_【vivado学习五】时序分析

    想一千次,不如去做一次.华丽的跌倒,胜过无谓的徘徊. [vivado学习五]时序分析 典型的时序模型由发起寄存器.组合逻辑和捕获寄存器3部分组成,如图1所示形成了三条时钟路径:原时钟路径(Source ...

  8. xilinx vivado zynq vdma仿真及应用详解(一)

    https://www.cnblogs.com/geekite/p/5563561.html 很多人用zynq平台做视频图像开发,但是对vdma了解比较少,上手起来稍微有些困难,我针对这一现象,做了一 ...

  9. vivado 2019.1 仿真 闪退

    先说解决办法,更改环境变量的系统缓存目录,改到一个大的盘里. 原因:波形数据太多了,原来的缓存满了,C盘爆了. 今天做仿真,前几次仿真还挺顺利,后面老是刚开仿真就闪退,但是监控CPU和内存没有发现什么 ...

最新文章

  1. 有了链路日志增强,排查Bug小意思啦!
  2. zabbix 中 mysql.sock 丢失问题
  3. 用python的turtle画圆-(python海龟绘图怎么增加每次画圆的半径)
  4. cmake qt 添加路径 项目_CMake配置Qt工程
  5. java.lang.IllegalArgumentException: Does not contain a valid host:port authority: ignorethis
  6. apache/nginx中equest.getServerName()外网环境获取不到代理地址/域名
  7. 【BZOJ2229】【ZJOI2011】最小割
  8. 计算机网络课设telnet_【川大】计算机网络课程设计9013,奥鹏2017
  9. java 操作系统 模拟 daima_编写一个程序,利用Java语言模拟操作系统进程调度管理...
  10. sun的java认证考试_Sun Java认证考试科目
  11. c语言中windows头文件,windows与linux 标准c语言头文件
  12. win10任务栏太宽而且调不了_win10系统任务栏变宽了的修复方法
  13. sqlserver 误删除数据恢复
  14. JSON Views 基本用法
  15. 【JavaScript】新浪微博如何快速批量取消关注?
  16. C++将类写在头文件中
  17. 计算机师徒结对方案,指导青年教师(师徒结对)工作计划
  18. python教材答案编写函数求成绩平均数_学好Python例题之求成绩平均分
  19. 测试方法-静态,动态
  20. JSP判断radio是否选择和传值栗子(测试应聘人员能力自动计算分数)

热门文章

  1. 【已解决】笔记本电脑突然花屏
  2. 高薪程序员面试题精讲系列15之Java中的对象如何实现排序?
  3. 看不到的传感器--高精度地图HD Map
  4. 用Excel做控制图
  5. 微信小程序消息模板设计及实现
  6. 苹果手机充电口接触不良怎么办_ iPhone终于用上“安卓充电口”,苹果这回亏大了?...
  7. MATLAB cvx错误汇总,cvx错误解决,cvx知识点总结,cvx编程技巧
  8. 小米手机安装失败错误码
  9. 腐烂国度2服务器无响应,腐烂国度2无法连接网络 无法联网解决方法
  10. python-爬虫-史书典籍