目录

  • 一、简介
  • 二、基于FPGA的VGA显示

转载资料:
尝试用Verilog驱动VGA.
基于FPGA的VGA显示,简单的历程和注释(DE2-115).
[开拓者FPGA开发指南_V1.2]

一、简介

  • VGA

    • VGA的全称是Video Graphics Array,即视频图形阵列,是一个使用模拟信号进行视频传输的标准。早期的CRT显示器由于设计制造上的原因,只能接收模拟信号输入,因此计算机内部的显卡负责进行数模转换,而VGA接口就是显卡上输出模拟信号的接口。如今液晶显示器虽然可以直接接收数字信号,但是为了兼容显卡上的VGA接口,也大都支持VGA标准。

即显卡将数字信号转化成模拟信号后,通过VGA接口传输模拟信号给显示器。

  • VGA接口样式:

  • VGA接口定义及各引脚功能说明:

如图 18.1.2所示,我们一般只用到其中的1.红色(RED)、2 .绿色(GREEN)、3.蓝色(BLUE)、13.行同步(HSYNC)、14.场同步(VSYNC)信号。引脚1、2、3分别输出红\绿、蓝三原色模拟信号,电压变化范围为 0~0.714V,0V代表无色,0.714V 代表满色;引脚13、14输出TTL电平标准的行/场同步信号,是模拟信号。

  • VGA驱动显示器原理

    1. VGA驱动显示器用的是扫描的方式,一般是逐行扫描。
    2. 逐行扫描是扫描从屏幕左上角一点开始,从左像右逐点扫描,每扫描完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行同步。
    3. 当扫描完所有的行,形成一帧后,用场同步信号进行场同步,并使扫描回到屏幕左上方,同时进行场消隐,开始下一帧。
    • 扫描轨迹:

    • 行频率:完成一行扫描的时间(即水平扫描时间)的倒数。

    • 场频率:完成一帧(整屏)扫描的时间(即垂直扫描时间)的倒数。

    • 行场消隐信号:是针对老式显像管的成像扫描电路而言的。电子枪所发出的电子束从屏幕的左上角开始向右扫描,一行扫完需将电子束从右边移回到左边以便扫描第二行。在移动期间就必须有一个信号加到电路上,使得电子束不能发出。不然这个回扫线会破坏屏幕图像的。这个阻止回扫线产生的信号就叫作消隐信号,场信号的消隐也是一个道理。

    • 显示带宽:带宽指的显示器可以处理的频率范围。

    • 时钟频率:以640x480@59.94Hz(60Hz)为例,每场对应525个行周期(525=10+2+480+33),其中480为显示行。每场有场同步信号,该脉冲宽度为2个行周期的负脉冲,每显示行包括800点时钟,其中640点为有效显示区,每一行有一个行同步信号,该脉冲宽度为96个点时钟。由此可知:行频为52559.94=31469Hz,需要点时钟频率:525800*59.94约25MHz。

  • 传输过程中的同步时序(分为行时序和场时序)

    • 行时序

    • 场时序

从上面两幅图中我们可以看到VGA传输过程中的行同步时序和场同步时序非常类似,一行 或一场(又称一帧)数据都分为四个部分:低电平同步脉冲、显示后沿、有效数据段以及显示前沿。
行同步信号HSYNC在一个行扫描周期中完成一行图像的显示,其中在a段维持一段时间的低电平用于数据同步,其余时间拉高;在有效数据期间(c段),红绿蓝三原色数据通道上输出一行图像信号,其余时间数据无效。
与之类似,场同步信号在在一个场扫描周期中完成一帧图像的显示,不同的是行扫描周期的基本单位是像素点时钟,即完成一个像素点显示所需要的时间;而场扫描周期的基本单位是完成一行图像显示所需要的时间。

  • 用数字电路hsvs驱动r、g、b模拟信号端口
    1.方法一:直接用0/1数字信号驱动
    虽说r、g、b都是模拟信号驱动的,但是数字信号也是有电压的,可以看做是一个要么恒定不变,要么就跳变的模拟信号。
    好处:不需要在数字电路输出端和VGA接口之间加入模拟电路。
    坏处:这样的输出只能有8种(2种电压,3个端口,共8个组合)。

    2.方法二:在数字信号输出和VGA接口之间加入一段简单的DA电路
    利用不同的阻值电阻分压,把数字信号转化为模拟信号,然后再输入到VGA的端口。
    好处:可以用多个数字信号端口输出,组合出更多的模拟信号,增加可输出的颜色种类。
    坏处:增加成本。

二、基于FPGA的VGA显示

  • 芯片:EP4CE115F29C7
  • 代码:
module COLORBAR_top(OSC_50,     //原CLK2_50时钟信号VGA_CLK,    //VGA自时钟VGA_HS,     //行同步信号VGA_VS,     //场同步信号VGA_BLANK,  //复合空白信号控制信号  当BLANK为低电平时模拟视频输出消隐电平,此时从R9~R0,G9~G0,B9~B0输入的所有数据被忽略VGA_SYNC,   //符合同步控制信号      行时序和场时序都要产生同步脉冲VGA_R,      //VGA绿色VGA_B,      //VGA蓝色VGA_G
);     //VGA绿色input OSC_50;     //外部时钟信号CLK2_50output VGA_CLK,VGA_HS,VGA_VS,VGA_BLANK,VGA_SYNC;output [7:0] VGA_R,VGA_B,VGA_G;parameter H_FRONT = 16;     //行同步前沿信号周期长parameter H_SYNC = 96;      //行同步信号周期长parameter H_BACK = 48;      //行同步后沿信号周期长parameter H_ACT = 640;      //行显示周期长parameter H_BLANK = H_FRONT+H_SYNC+H_BACK;        //行空白信号总周期长parameter H_TOTAL = H_FRONT+H_SYNC+H_BACK+H_ACT;  //行总周期长耗时parameter V_FRONT = 11;     //场同步前沿信号周期长parameter V_SYNC = 2;       //场同步信号周期长parameter V_BACK = 31;      //场同步后沿信号周期长parameter V_ACT = 480;      //场显示周期长parameter V_BLANK = V_FRONT+V_SYNC+V_BACK;        //场空白信号总周期长parameter V_TOTAL = V_FRONT+V_SYNC+V_BACK+V_ACT;  //场总周期长耗时reg [10:0] H_Cont;        //行周期计数器reg [10:0] V_Cont;        //场周期计数器wire [7:0] VGA_R;         //VGA红色控制线wire [7:0] VGA_G;         //VGA绿色控制线wire [7:0] VGA_B;         //VGA蓝色控制线reg VGA_HS;reg VGA_VS;reg [10:0] X;             //当前行第几个像素点reg [10:0] Y;             //当前场第几行reg CLK_25;always@(posedge OSC_50)begin CLK_25=~CLK_25;         //时钟
end assign VGA_SYNC = 1'b0;   //同步信号低电平
assign VGA_BLANK = ~((H_Cont<H_BLANK)||(V_Cont<V_BLANK));  //当行计数器小于行空白总长或场计数器小于场空白总长时,空白信号低电平
assign VGA_CLK = ~CLK_to_DAC;  //VGA时钟等于CLK_25取反
assign CLK_to_DAC = CLK_25;always@(posedge CLK_to_DAC)beginif(H_Cont<H_TOTAL)           //如果行计数器小于行总时长H_Cont<=H_Cont+1'b1;      //行计数器+1else H_Cont<=0;              //否则行计数器清零if(H_Cont==H_FRONT-1)        //如果行计数器等于行前沿空白时间-1VGA_HS<=1'b0;             //行同步信号置0if(H_Cont==H_FRONT+H_SYNC-1) //如果行计数器等于行前沿+行同步-1VGA_HS<=1'b1;             //行同步信号置1if(H_Cont>=H_BLANK)          //如果行计数器大于等于行空白总时长X<=H_Cont-H_BLANK;        //X等于行计数器-行空白总时长   (X为当前行第几个像素点)else X<=0;                   //否则X为0
endalways@(posedge VGA_HS)beginif(V_Cont<V_TOTAL)           //如果场计数器小于行总时长V_Cont<=V_Cont+1'b1;      //场计数器+1else V_Cont<=0;              //否则场计数器清零if(V_Cont==V_FRONT-1)       //如果场计数器等于场前沿空白时间-1VGA_VS<=1'b0;             //场同步信号置0if(V_Cont==V_FRONT+V_SYNC-1) //如果场计数器等于行前沿+场同步-1VGA_VS<=1'b1;             //场同步信号置1if(V_Cont>=V_BLANK)          //如果场计数器大于等于场空白总时长Y<=V_Cont-V_BLANK;        //Y等于场计数器-场空白总时长    (Y为当前场第几行)  else Y<=0;                   //否则Y为0
endreg   valid_yr;always@(posedge CLK_to_DAC) beginif(V_Cont == 10'd32)         //场计数器=32时valid_yr<=1'b1;           //行输入激活else if(V_Cont==10'd512)     //场计数器=512时valid_yr<=1'b0;         //行输入冻结
endwire  valid_y=valid_yr;       //连线
reg   valid_r; always@(posedge CLK_to_DAC)begin   if((H_Cont == 10'd32)&&valid_y)     //行计数器=32时valid_r<=1'b1;                   //像素输入激活else if((H_Cont==10'd512)&&valid_y) //行计数器=512时 valid_r<=1'b0;        //像素输入冻结
end wire        valid = valid_r;               //连线
wire [10:0] x_dis;     //像素显示控制信号
wire [10:0] y_dis;     //行显示控制信号
assign      x_dis=X;       //连线X
assign      y_dis=Y;       //连线Yparameterchar_line00=272'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF,char_line01=272'h00000000000000000000000000000000000000000000000000000000000000000000,char_line02=272'h00400C0000000000000000000000000000C008000000000000000000000000000000,char_line03=272'h00700E0000000180000000000000000000E00C0000000000000000800180000000C0,char_line04=272'h00600C00000003C0000000000000000000C01C0000000000000000FFFF80000001E0,char_line05=272'h00600C3003FFFC000000000000000080008018000000000000800080018003FFFE00,char_line06=272'h1FFFFFF8000180007FFE7FF003C80380008018007C1F03C803800080018000000000,char_line07=272'h00600C0000018000180E18180E3803800104301018040E3803800080018000000000,char_line08=272'h00600C00000180001802180C080803800FFE30381804080803800080018000000000,char_line09=272'h00600C000001800018031806180802800C0C7FF818041808028000FFFF8000000000,char_line0a=272'h007FFC000001800018011806300406C00C0C60300C08300406C00080018000000000,char_line0b=272'h00600C000001800018001806300404C00C0CC0300C08300404C00080018000000018,char_line0c=272'h00600C000001801018081806200004C00C0C80300C08200004C0008001800000003C,char_line0d=272'h007FFC000001803818081806600004C00C0D00300C08600004C0008001803FFFFFFE,char_line0e=272'h00600C003FFFFFFC1818180C60000C600C0D4030061060000C6000FFFF8000018000,char_line0f=272'h00600C10000180001FF81818600008600C0E20300610600008600080010000018000,char_line10=272'h00600C380001800018181FF0600008600C0C10300610600008600008200000418000,char_line11=272'h3FFFFFFC0001800018081800600008600FFC1830073060000860000C382000718800,char_line12=272'h003208000001800018081800603F1FF00C0C18300320603F1FF0080C307000E18600,char_line13=272'h00618C000001800018001800600C10300C0C0C300320600C10300C0C307000C18300,char_line14=272'h00C106000001800018001800600C10300C0C08300320600C1030060C30C001818180,char_line15=272'h018101C00001800018001800300C10300C0C003001C0300C1030030C30C0038180C0,char_line16=272'h030104FC0001800018001800300C30300C0C003001C0300C3030038C318003018060,char_line17=272'h0C010E380001800018001800180C20180C0C003001C0180C2018018C330006018070,char_line18=272'h187FF0000001800018001800180C20180C0C003001C0180C2018018C36000C018038,char_line19=272'h6001000000018000180018000C1060180C0C003000800C106018008C380018018038,char_line1a=272'h00010000000180007E007E0003E0F83E0C0C0030008003E0F83E000C301010018010,char_line1b=272'h00010000003F800000000000000000000FFC0C60000000000000000C303820738000,char_line1c=272'h00010060000F800000000000000000000C0C03E00000000000001FFFFFFC001F8000,char_line1d=272'h1FFFFFF00007000000000000000000000C0801C00000000000000000000000070000,char_line1e=272'h00000000000000000000000000000000000000800000000000000000000000020000,char_line1f=272'h00000000000000000000000000000000000000000000000000000000000000000000;reg [8:0]  char_bit;always@(posedge CLK_to_DAC)beginif(X==10'd144)char_bit<=9'd272;   //当显示到144像素时准备开始输出图像数据else if(X>10'd144&&X<10'd416)     //左边距屏幕144像素到416像素时    416=144+272(图像宽度)char_bit<=char_bit-1'b1;       //倒着输出图像信息 endreg [29:0] vga_rgb;                //定义颜色缓存always@(posedge CLK_to_DAC) if(X>10'd144&&X<10'd416)    //X控制图像的横向显示边界:左边距屏幕左边144像素  右边界距屏幕左边界416像素begin case(Y)            //Y控制图像的纵向显示边界:从距离屏幕顶部160像素开始显示第一行数据10'd160:if(char_line00[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;  //如果该行有数据 则颜色为红色else vga_rgb<=30'b0000000000_0000000000_0000000000;                      //否则为黑色10'd162:if(char_line01[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd163:if(char_line02[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd164:if(char_line03[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd165:if(char_line04[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000; 10'd166:if(char_line05[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd167:if(char_line06[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000; 10'd168:if(char_line07[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd169:if(char_line08[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000; 10'd170:if(char_line09[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd171:if(char_line0a[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd172:if(char_line0b[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd173:if(char_line0c[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd174:if(char_line0d[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd175:if(char_line0e[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd176:if(char_line0f[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd177:if(char_line10[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd178:if(char_line11[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd179:if(char_line12[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd180:if(char_line13[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd181:if(char_line14[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd182:if(char_line15[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd183:if(char_line16[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd184:if(char_line17[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd185:if(char_line18[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd186:if(char_line19[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd187:if(char_line1a[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd188:if(char_line1b[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd189:if(char_line1c[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd190:if(char_line1d[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd191:if(char_line1e[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd192:if(char_line1f[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;default:vga_rgb<=30'h0000000000;   //默认颜色黑色endcase endelse vga_rgb<=30'h000000000;             //否则黑色assign VGA_R=vga_rgb[23:16];
assign VGA_G=vga_rgb[15:8];
assign VGA_B=vga_rgb[7:0];endmodule

Quartus II——基于VGA的图像显示相关推荐

  1. 使用 FPGA 开发板采用 Verilog 编程练习基于 VGA 图像显示

    目录 一.VGA 简介 二.实验内容 2.1 Quartus 新建工程 2.2 新建 Verilog 文件 2.3 配置管脚 2.4 DE2-115 开发板连接 2.5 烧录程序 三.总结 四.参考资 ...

  2. 基于Quartus II+ModelSim SE的后仿真(Verilog版)

    基于Quartus II+ModelSim SE的后仿真(Verilog版) 一.Quartus 中的相关设置 在Quartus中建立名为counter的工程,设置仿真工具为ModelSim(Veri ...

  3. 基于Quartus II 软件(VHDL)设计

    目录 一,基于 Quartus II 的数字系统设计流程 二,Quartus II 软件使用介绍 1. 建立工程 2. 设计输入 3. 编译 4. 时序仿真 quartus ii 安装请参考: Qua ...

  4. 基于Quartus II软件的FPGA综合实验——多功能数字钟

    有很多自制元器件,内部电路附在文章中 文章目录 前言 一.设计要求 二.设计原理 三.设计过程 1.数码管扫描模块 2.计时模块 3.闹钟模块 4.闹钟响铃模块 5.数码管显示模块 6.整点报时功能 ...

  5. 计算机组成与体系结构乘法指令设计,基于Quartus II的计算机组成与体系结构综合实验教程...

    基于Quartus II的计算机组成与体系结构综合实验教程 语音 编辑 锁定 讨论 上传视频 <基于Quartus II的计算机组成与体系结构综合实验教程>是2011年科学出版出版的图书, ...

  6. 基于Quartus II软件FPGA与PC之间的串行通信系统开发(9000+字)

    1.简介与仿真结论 随着集成电路技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域.电子设计自动化是一种实现电子系统或电子产品自动化设计的技术,它与 ...

  7. 基于Quartus II的在线调试方法

    细算一下,发现Altera在Quartus II工具中居然提供了五种不同的在线调试方法.这里的在线调试是指协助或不借助于外部工具的FPGA板级调试.这些方法调试形式上稍有不同,互有优劣,目的都是为了帮 ...

  8. 基于FPGA简易电子琴设计+电路原理图+Modelsim 仿真+Quartus II 下载+源代码+激励文件

    一.总体电路结构设计 五大模块 按键同步输入模块 编码频率控制模块 分频计数模块 译码模块 二分频(方波)模块 二.Modelsim 仿真 同步输入仿真波形:模拟按键输入 key[3:0],经过同步输 ...

  9. Matlab与FPGA数字信号处理系列——DDS信号发生器——Quartus ii 原理图法利用 ROM 存储波形实现DDS(1)

    MATLAB 与 FPGA无线通信.图像处理.数字信号处理系列 系统框图 基于FPGA的DDS信号发生器系统框图如下图所示,采取查表法. (1)对一个完整周期的波形进行采样,将采样点存在ROM中: ( ...

  10. 用Quartus II Timequest Timing Analyzer进行时序分析 :实例讲解 (一)

    一,概述 用Altera的话来讲,timequest timing analyzer是一个功能强大的,ASIC-style的时序分析工具.采用工业标准--SDC(synopsys design con ...

最新文章

  1. The 2014 ACM-ICPC Asia Mudanjiang Regional Contest(2014牡丹江区域赛)
  2. 十六进制转换为十进制的效率问题
  3. 宝塔 mysql迁移_(2020年最新方法)如何快速迁移网站?使用宝塔一键迁移转移网站数据详细教程...
  4. ajax empty,jQuery empty仅在AJAX调用后的第二次单击时起作用
  5. linux 脚本 获取当前目录,Linux下获取脚本当前工作目录的一点感触
  6. Java学习笔记_选择语句
  7. ios 拍照 实现 连拍_如何在iOS设备上使用连拍模式拍照
  8. php写ssh命令行_php使用ssh2来操作服务器执行命令
  9. CSDN 发布开源代码托管平台 GitCode
  10. mysql resultmap_MyBatis ResultMap
  11. 单目深度估计综述(updating...)
  12. 手机芯片命名规则详解
  13. office基础操作
  14. Wireshark入门-Wireshark
  15. (2)勾股数组与单位圆
  16. Vins-Mono系列代码和理论解读<五>.位姿图Pose_graph理论和代码实现细节
  17. 开源深度学习加速器(NPU)NVDLA介绍
  18. ajax的同步与异步
  19. 7-1 判断两个数是否互质
  20. 想成为注塑模具设计高手:这个你一定要懂

热门文章

  1. 爬取豆瓣高分电影榜实例
  2. 跟二项分布相关的统计检验方法
  3. 安装Centos8设置基础软件仓库时出错
  4. 无法安装冰点还原_冰点还原标准版v8.56.020.5542 ——墨涩网
  5. QQ空间蜘蛛爬虫数据报告
  6. PC-红警联机问题与下载
  7. 服务器要用sas硬盘,服务器硬盘如果不采用SAS硬盘会有什么影响
  8. 很哇塞的网页特效之字符串切换
  9. 用css做一个3d相册
  10. linux远程取证,linux系统取证