计算机控制技术综合应用设计

目的

计算机控制技术综合应用设计是学生必修的实践环节之一,应使学生较系统的完成电子系统设计,从选题、方案论证、软硬件设计、软硬件实现、系统测试、总结报告等基本过程,加深对模拟电路、数字电路、微机原理、单片机(嵌入式、DSP、PLC)等相关课程理论知识的分析理解。通过实践引导学生把原理分析与工程设计结合,掌握软硬件系统设计的基本方法和一般规则,提高综合应用能力,培养学生的创新思维和实践能力,为自动化(电气工程)综合设计和毕业设计打下坚固的基础。

培养独立完成一个课题或实际问题的能力

培养查阅资料文献手册的能力

熟悉元器件类型,掌握合理选取元件的规则

锻炼撰写小论文和设计报告的能力

培养科学的工作作风和严谨务实的态度

设计内容

本设计的主要内容包括:自主选题、理论设计、调试与仿真、撰写设计报告并答辩等。

自主选题题目参见“三、设计题目”。无论选择什么题目,最后完成的方案必须包含MCU模块。除题目明确指定MCU之外,MCU种类不加限制,可在51单片机、AVR、ARM、可编程逻辑控制器、FPGA\CPLD、DSP、PLC等范围内自行选择。MCU在系统中的作用可简可繁,自行设计。

理论设计包括方案选择、硬件系统设计、软件系统设计等。硬件设计包括单片机最小系统设计、单元电路设计、元器件选择(须选通用芯片)及参数计算;软件设计包括模块化层次结构图、程序流程图等。通过调试与仿真,进一步完善设计,使之达到课程设计要求,使其更接近于实际产品。

最后要求撰写设计总结报告,把理论设计内容,调试过程及性能指标的测试进行全面总结,把实践内容上升到理论高度。并通过答辩过程展现课程设计所做的工作。

设计题目

简易数字电压表★★★(刘述喜)

利用AD转换芯片测量0~2V电压信号

4位显示

系统工作符合一般数字电压表要求

扩展要求:

更多量程,如0~200mV,0~20V等

按键切换量程

简易数字电流表★★★(刘述喜)

利用AD转换芯片和精密电阻测量0~20mA电流

4位显示

系统工作符合一般数字电流表要求

扩展要求:

更多量程,如0~2mA,0~200mA等

按键切换量程

简易可编程恒流源★★★★(刘述喜)

利用DA转换芯片输出200-2000mA电流信号

可设置并显示输出电流给定值?C)与电压U(V)对应关系:T=15.4V

系统工作符合一般数据采集器要求

扩展要求:

循环显示所有通道温度值

对采集数据进行一阶惯性数字滤波

简易数字信号发生器★★★(万文略)

利用DA转换输出三角波、方波、正弦波、阶梯波

按键切换四种波形的输出

系统工作符合一般信号发生器的要求

扩展要求:

波形频率可调

波形幅值可调

简易交流采样★★★★(万文略)

利用电压电流互感器和AD转换芯片采集交流电压电流信号

轮流显示三相电压电流

系统工作符合一般交流采样要求

扩展要求:

相位角采样

有效电压计算

简易交通灯控制系统的设计★★★(万文略)

利用定时器产生秒信号,控制十字路口红绿黄灯交替点亮和熄灭;

采用LED数码管显示交通灯剩余时间;

系统工作符合一般交通灯控制要求。

扩展要求:

能用按键设置通行时间和暂缓通行时间;

能根据各向交通流量自动调整通行时间。

多层电梯控制器★★★★(古亮)

每层电梯入口处有上下开关,电梯内有设定到达楼层的停站开关。可以显示电梯位置及门状态

电梯停站时,1s后开门,4s后关门

系统工作符合一般电梯要求

扩展要求:

电梯内设置开关门按钮

电梯门防夹控制

自动售货机★★★(古亮)

自动出售多种货物,按键操作及错误提示有指示灯

按键选择货物,利用按键模拟5元和10元纸币输入

系统工作符合一般自动售货机要求

扩展要求:

声音提示

退钱功能

出租车计费系统★★★★(古亮)

脉冲信号模拟车轮转动,3公里以内起步价5元,超过3公里每0.5公里0.9元

功能按键,显示公里数和费用

系统工作符合一般出租车计价器要求

扩展要求:

低速时进入等待计时状态,等待计时超过5分钟后,每分钟0.9元

夜班加价功能

彩灯控制器★★★(古亮)

4种以上彩灯花型变化(显示模式)

清零复位

系统工作符合一般彩灯控制器要求

扩展要求:

彩灯变换的快慢节拍可以在选择

多种花型可以自动变换,循环往复

篮球赛计时计分器★★★(贺娟)

倒计时方式显示单节比赛剩余时间,可暂停

显示双方得分,按键输入可修改分值

系统工作符合一般篮球赛计时计分器要求

扩展要求:

时间及分值如有错误可修改

单节比赛或整场比赛结束时声音提示

简易数字钟★★★(贺娟)

要求准确显示“时”、“分”、“秒”,24小时制;

具有整点报时功能,在每小时59分51秒、53秒、55秒、57秒发出低音,59秒整发出高音;

系统工作符合一般时钟要求。

扩展要求:

具有校时功能,用户可修改“时”、“分”,且互不影响;

过程计算机控制综合课程设计,计算机控制技术综合课程设计.doc相关推荐

  1. 计算机控制系统软件设计,计算机控制技术第7章 计算机控制系统软件设计.ppt

    文档介绍: 第七章计算机控制系统软件设计 7.1 程序设计技术 7.2 人机接口(HMI/SCADA)技术 7.3 测量数据预处理技术 7.4 数字控制器的工程实现 7.5 系统的有限字长数值问题 7 ...

  2. 计算机控制技术的课程设计,《计算机控制技术》课程设计(撰写模板).doc

    中文题目黑体二号,居中 黑体初号,居中 <计算机控制技术> 课程设计 英文题目Arial二号,大写,居中 题目名 黑体小三,居中 学生姓名 学院名称 专业名称 指导教师 年 月 日 < ...

  3. 201609计算机控制技术作业三,计算机控制技术大作业2015..doc

    计算机控制技术大作业2015. 深圳大学考试答题纸 (以论文.报告等形式考核专用)二○一四 -二○一五 学年度第 2 学期 课程编号1700470001课程名称计算机控制技术主讲教师评分学 号姓名专业 ...

  4. 计算机控制课设串级回路,华北电力大学过程计算机控制课设DDC串级回路PID闭环.doc...

    华北电力大学过程计算机控制课设DDC串级回路PID闭环.doc (27页) 本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦! 24.9 积分 .课程设计报告名称 ...

  5. 计算机控制实验教程,新)《计算机控制技术》实验教程.doc

    肇庆学院光机电一体化综合性实验教学示范中心实验教材之二十六 计算机控制技术 实 验 教 程 肇庆学院电子信息与机电工程学院 编 二00八年九月 内容简介 本书主要是基于清华大学出版社出版的由于海生先生 ...

  6. 城市规划计算机辅助设计综合实践,城市规划计算机辅助设计综合实践:AutoCAD2015/ArcGIS/PS/SU...

    <城市规划计算机辅助设计综合实践:AutoCAD2015/ArcGIS/PS/SU>从AutoCAD 2015规划设计的基础操作入手,由浅入深地介绍了规划设计地形分析的基本处理方法.三维设 ...

  7. 计算机控制实验ppt模板,计算机控制技术实验课件.ppt

    计算机控制技术实验课件.ppt 计算机控制技术实验课件,易杰,目录,实验一模数.数模转换实验实验二多路模拟信号AD.DA转换实验实验三采样实验实验四保持器实验实验五积分分离PID控制实验,实验一模数. ...

  8. 计算机英语课程设计,计算机英语立体化课程设计论文

    一.课程定位 具有高职特色的实用计算机英语网络立体化课程针对国家教育部提出的"重点针对应用型人才"的培养要求,在充分借鉴了当今国外和国内ESP教学的研究成果并结合本教学团队十余年的 ...

  9. c 设计计算机报告,C课程设计(计算器)报告

    C课程设计(计算器)报告 (17页) 本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦! 14.9 积分 课程设计报告Visual C++课程设计题目:计算器题目 ...

最新文章

  1. ValueError: Shape mismatch: The shape of labels (received (768,)) should equal the shape of logits e
  2. 可解释性:对神经网络中层特征复杂度的解释与拆分
  3. ibm招mysql_IBM-ETP实训之MySql基本命令总结
  4. html个人网页完整代码模板,静态 html 个人主页 模板
  5. AUTOCAD--实时缩放
  6. 有一行电文,已按以下规律译成密码: A-Z a-z B-Y b-y C-X c-x,即第一个字母变成第26个字母,第i个字母变成第(26-i+1)个字母,非字母字符不变。要求编程将密码译回原文,并
  7. 软件工程及软件生命周期
  8. linux 设置深信服easyconnect 代理
  9. unreal world 皮革_Unreal World猎鹿记
  10. python小程序表白
  11. Unreal - Environment Query System(EQS)
  12. 解决WPS或Word中“图片隐藏在文字下面”的问题
  13. 贵州省委常委、宣传部部长、省委网络安全与信息化领导小组副组长慕德贵一行莅临云宏,考察云计算关键核心技术发展情况
  14. 19张插画让你秒懂Kubernetes
  15. Java程序员必修内功心法!(内功修炼第三层)
  16. JavaScript判断当前浏览器是否为IE或者Edge
  17. php 仿面包网 源码 飞飞cms_2020最新2017最新仿面包网模板 电影网站源码 带下载功能mianbao9源码...
  18. c语言 %-5d问题
  19. app自动化测试appium教程之三——appium基础命令(python)
  20. MATLAB周边第四期-MATLAB优雅绘图配色

热门文章

  1. 怎么把图片做成gif动图
  2. 微信小程序上传大视频到七牛云-附七牛优惠码
  3. python获得a标签内容
  4. 计算机切换器鼠标反应慢,鼠标速度切换器,每鼠标配置速度设置 | MOS86
  5. 农业生产智能巡检机器人系统
  6. 基于live555中的liveMedia库的client开发流程 此博文包含图片
  7. java使用POI操作excel
  8. 基于Opencv快速实现人脸识别(完整版)
  9. Jitsi Meet Electron Desktop 在树莓派4中的编译
  10. 浅析推动可持续社会价值创新