描述

本文为大家带来五款五人表决器电路设计方案。

五人表决器电路设计方案一:基于74LS151设计的五人表决器电路

系统原理

五人表决器,只要赞成人数大于或等于三,则表决通过。因此,只需将每位表决人的结果相加,判断结果值。设五个开关A、B、C、D、E作为表决器的五个输入变量,输入变量为逻辑“1”时,表示表决者“赞成”,红灯亮;输入变量为“0”时,表示表决者“不赞成”,红灯不亮;输出逻辑“1”时,表示表决“通过”,绿灯亮;输出逻辑“0”时,表示表决“不通过”,绿灯不亮;当表决器的五个输入变量中有3个以上(含3个)为“1”时,则表决器输出为“1”,此时绿灯就亮了。

真值表

如果绿灯亮了,则表示表决通过,若绿灯不亮了,表示不通过。

电路设计

原理图

五人表决器电路设计方案二:verilog 五人表决器

程序设计

module vote5(a,b,c,d,e,f);

input a,b,c,d,e;

output f; reg f;

reg[2:0] count1;

initial count1=0;

always@(a,b,c,d,e)

begin

count1《=a+b+c+d+e;

f=count1《3?0:1;

end

endmodule

测试程序

module test;

reg a;

reg b;

reg c;

reg d;

reg e;

wire f;

vote5 uut (

.a(a),

.b(b),

.c(c),

.d(d),

.e(e),

.f(f) );

initial begin

a = 0;

b = 0;

c = 0;

d = 0;

e = 0;

#100

a = 1;

b = 0;

c = 0;

d = 0;

e = 0;

#100

a = 1;

b = 1;

c = 0;

d = 0;

e = 0;

#100

a = 1;

b = 1;

c = 1;

d = 0;

e = 0;

#100

a = 1;

b = 1;

c = 1;

d = 1;

e = 0;

#100

a = 1;

b = 1;

c = 1;

d = 1;

e = 1;

end

endmodule

仿真图

五人表决器电路设计方案三:VHDL编程的五人表决器

用实验平台的LED 发光阵列实现表决结果和每人的表决信号。要求LED1显示判决赞成(led_agr)信号,LED8显示判决反对(led_opp)信号。LED3、LED4、LED5同时显示判决结束信号。LED10、LED11、LED12、LED13、LED14显示5人的表决信号。

当系统启动后,数码管5、6分别显示“FF ”字样,所有用到的数码管熄灭,表决开始,用户此时可以通过拨动开关输入5人的表决意见,并通过LED10~LED14分别显示出来,当用户按下lock 按键(按键6) 后,LED10~LED14的状态被锁存,LED3、LED4、LED5同时点亮,此时无论如何输入表决意见LED10~LED14的状态都不会改变,同时系统会计算出来赞成的人数和反对的人数,并分别用数码管5、6显示出来,而且系统会计算出来赞成的人数和反对的人数的大小关系,并通过LED1和LED8显示出来最终判决的表决结果(判决赞成:LED1亮;判决反对:LED8亮) 。此时用户按下清除键clr(按键7) 后,系统又恢复成为刚启动状态。

源代码

仿真图

五人表决器电路设计方案四:基于74HC138的五人表决器

对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则红灯亮 (红灯用“1”表示),绿灯亮(绿灯用“0”表示)。如果红灯亮了,则表示表决通过,若绿灯亮了,表示不通过。

电路图设计

五人表决器电路设计方案五:基于FPGA的五人表决器

电路原理图

此电路图可实现表决器的控制与显示。键8 到键2 分别为五位投票者和复位,开始键。通过数码管显示投票人数,和剩余时间。二极管D8 显示通过与不通过。

引脚锁定

仿真

当处于复位状态时,外界的输入对结果没有影响。故时间仍为10 秒,输出统计人数为0。仿真波形如下图所示。

复位时的模拟结果

在非复位状态下,主持人按下开始键。表决开始。在没有人投票的情况下。时间变为0。表决结束。仿真波形如下图所示。

无人赞成时的模拟结果

在非复位状态下,主持人按下开始键。表决开始。当超出表决时间时才进行表决,此表决无效。仿真波形如下图所示。

规定时间外的模拟结果

在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有两人赞同。仿真波形如下图所示。

两人赞成时的结果

在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有三人赞同。仿真波形如下图所示。

三人赞成时的结果

在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有四人赞同。仿真波形如下图所示。

四人赞成时的结果

在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有五人赞同。仿真波形如下图所示。

五人赞成时的结果

打开APP精彩内容

点击阅读全文

五人表决器课程设计单片机c语言,五人表决器电路设计方案汇总(五款模拟电路逻辑图及原理图详解)...相关推荐

  1. 五人比大小c语言,五人表决器电路设计方案汇总(五款模拟电路逻辑图及原理图详解)...

    本文为大家带来五款五人表决器电路设计方案. 五人表决器电路设计方案一:基于74LS151设计的五人表决器电路 系统原理 五人表决器,只要赞成人数大于或等于三,则表决通过.因此,只需将每位表决人的结果相 ...

  2. 单片机四位时钟电路设计方案汇总(四款电路图及程序分享) - 数字时钟电路图

    电路图简介: 本文分别介绍了不同四款单片机时钟设计电路图,另外还将它们的程序详细的表达了出来. 单片机四位时钟电路设计方案(一) 以AT89C51单片机为核心的时钟电路的程序设计 1.主程序设计及系统 ...

  3. c语言实现同步四位加法计数器,八进制计数器设计方案汇总(四款模拟电路原理实现过程)...

    今天小编要和大家分享的是八进制计数器,计数器相关信息,接下来我将从八进制计数器设计方案汇总(四款模拟电路原理实现过程),试用4位同步二进制加法计数器74161采用置数法构成十进制计数器 二这几个方面来 ...

  4. 计算机程序设计基础课程设计(C语言)[2023-02-28]

    计算机程序设计基础课程设计(C语言)[2023-02-28] 计算机程序设计基础课程设计(C语言) 设计任务书 指导专业:机械电子工程 指导教师:高峰 仲惟燕 机械电子工程学院 二〇二二年十二月 &l ...

  5. dsp课程设计c语言源码,DSP课程设计-基于C语言实现256点的FFT精选.pdf

    DSP课程设计-基于C语言实现256点的FFT精选 XX 大学 J1111111 UNIVERSITY DSP 课 程 设 计 基于CCS 的FFT 算法的C 语言实现和验证 学院名称: 专业班级: ...

  6. c语言链表p-%3enext,课程设计报告.c语言程序设计.pdf

    课程设计报告.c语言程序设计 学生成绩管理 C语言课程设计报告 学 院 _信息学院_ 专 业 软件工程 班 级 _ _ 学 号 姓 名 课 题 _C语言课程设计_ 指导教师 __ 杨老师 _ 报告成绩 ...

  7. FPGA课程设计使用VHDL语言

    下面是FPGA的课程设计 使用VHDL语言,有程序和报告

  8. c语言编写万年历课程设计,用C语言编写万年历 C课程设计.pdf

    用C语言编写万年历 C课程设计 用用 C++语言编写万年历语言编写万年历 用用 语言编写万年历语言编写万年历 学生姓名:学生姓名: 指导老师:指导老师: 学生姓名学生姓名:: 指导老师指导老师:: 摘 ...

  9. 电容的q值计算公式_在设计电路中电容容量大小、耐压等级选取详解 (转)

    原文链接:在设计电路中电容容量大小.耐压等级选取详解 作者:张飞 电容的计算 我们对电容的计算,目的是要知道,我们在电路中需要一个多大的电容.为什么要需要 这么个电容?它的电压要多高?它的容量要多大? ...

  10. 单片机电流检测电路图大全(四款模拟电路设计原理图详解) - 信号处理电子电路图

    电路图简介: 本文主要介绍了单片机电流检测电路图大全(四款模拟电路设计原理图详解).它的主要功能是完成对过电压的瞬时值和峰值的检测.过电压次数的检测.电源输出电压和电流的检测,并通过键盘的操作显示出各 ...

最新文章

  1. 【神经网络】(16) MobileNetV3 代码复现,网络解析,附Tensorflow完整代码
  2. web在线阅读日志文件,response.getOutputStream().write中文乱码原因
  3. 技术人的未来在哪里?
  4. 计算机国际安全顶级会议
  5. [转]GCC 命令行详解 -L 指定库的路径 -l 指定需连接的库名
  6. Redis笔记之基本数据结构 字典
  7. 收集、分析线上日志数据实战——ELK
  8. 【Vegas2006】8月24日-花豆擀面做法
  9. 浅谈 MVC3 WebMail 发送邮件
  10. 在Qsys中创建用户自定义IP
  11. java代码如何降低重复率_JAVA 如何实现大文本去除重复行
  12. java反射机制面试_java反射机制面试题及答案整理,java反射面试题
  13. c++实现tailf命令
  14. android 日期转星座,日期匹配星座,月日匹配星座,android 星座
  15. “数据中台”在安防行业的应用与发展
  16. 你知道豆瓣电影是怎么评分的吗?(实战篇—手把手教你分析豆瓣电影)
  17. linux设备驱动(3)--LED字符驱动
  18. 记账分享:如何记录收支明细,并分析、打印保存。
  19. 激光脉冲产生发光的等离子灯丝 或使远程监控成为可能
  20. Subsurface Scatting 的简单模拟

热门文章

  1. 帆软报表嵌入python程序_C#教程之C#服务器端生成报告文档:使用帆软报表
  2. excel批量插入图片url显示方法
  3. java动漫网站_基于SSM框架下的JAVA漫画展示系统
  4. qmail加防病毒网关的郁闷经历
  5. 多元统计分析最短距离法_多元统计分析复习整理
  6. c语言二进制微粒群算法,离散二进制微粒群算法.pdf
  7. 按住 ctrl 并滚动鼠标滚轮才可缩放地图_鼠标永远快不过键盘!32组PS常用快捷键你值得拥有!...
  8. VBS好玩的整人小程序
  9. 免费织梦CMS文章采集器之采集聚合
  10. php版ueditor配置_ThinkPHP配置UEditor