Modelsim下载安装【Verilog】

  • 前言
  • Modelsim下载安装
    • 一、下载
    • 二、安装
    • 三、使用
    • 四、测试四选一
      • 编写mux41
      • 编写mux41_tb
      • 运行仿真
      • 仿真结果
      • 结束仿真
    • 五、打开已建立过的工程
    • 六、相关实验
      • 一、实验二选一
        • 编写代码
        • 仿真结果
      • 二、实验四选一
        • 编写mux41
        • 编写mux41_tb
        • 运行仿真
        • 仿真结果
        • 结束仿真
      • 三、实验D触发器
        • 编写代码
        • 开始仿真
        • 仿真结果
  • 最后

前言

以下内容源自资源
仅供学习交流使用
请您阅读文章声明,默认同意该声明

Modelsim下载安装

一、下载

Modelsim SE-64 2020.4-windows(内含和谐文件)网盘分享:

链接:https://pan.baidu.com/s/1sJHqoj6VEwrmf6GBMLXshQ
提取码:161d

网盘下载速率提升
最新验证码:7678

二、安装

参考:Modelsim下载 安装 与 和谐教程

安装成功

三、使用

参考:ModelSim的使用详解

或老师演示视频

补充:

若出错

** Error (suppressible): (vsim-12110) All optimizations are disabled because the -novopt option is in effect. This will cause your simulation to run very slowly. If you are using this switch to preserve visibility for Debug or PLI features, please see the User’s Manual section on Preserving Object Visibility with vopt. -novopt option is now deprecated and will be removed in future releases.

出错解决
Modelsim SE-64 2020.4 不能关闭优化的错误及其解决 Error (suppressible): (vsim-12110)

若没有Wave窗口

ModelSim的wave波形窗口在哪里打开

点击View勾选Wave

在Objects中右键变量->点击Add Wave使其添加到Wave窗口中

四、测试四选一

实验一 ModelSim的使用【Verilog】

编写mux41

设计代码

module mux41(input wire in0,input wire in1,input wire in2,input wire in3,input wire [1:0] sel,output reg out);always@(*)case(sel)2'b00: out=in0;2'b01: out=in1;2'b10: out=in2;2'b11: out=in3;default: out=1'b0;endcase
endmodule

编写mux41_tb

测试代码

module mux41_tb;reg in0,in1,in2,in3;reg [1:0] sel;wire out;mux41 uut(.in0(in0), .in1(in1), .in2(in2), .in3(in3),.sel(sel), .out(out));initial beginin0=0;in1=0;in2=0;in3=0;  sel=2'b00;#100 in0=1;in1=0;in2=0;in3=0;   sel=2'b00;#100 in0=1;in1=0;in2=0;in3=0;   sel=2'b01;#100 in0=1;in1=1;in2=0;in3=0;   sel=2'b01;#100 in0=1;in1=1;in2=0;in3=0;   sel=2'b10;#100 in0=1;in1=1;in2=1;in3=0;   sel=2'b10;#100 in0=1;in1=1;in2=1;in3=0;   sel=2'b11;#100 in0=1;in1=1;in2=1;in3=1;   sel=2'b11;end
endmodule

运行仿真

若出错

** Error (suppressible): (vsim-12110) All optimizations are disabled because the -novopt option is in effect. This will cause your simulation to run very slowly. If you are using this switch to preserve visibility for Debug or PLI features, please see the User’s Manual section on Preserving Object Visibility with vopt. -novopt option is now deprecated and will be removed in future releases.

出错解决
Modelsim SE-64 2020.4 不能关闭优化的错误及其解决 Error (suppressible): (vsim-12110)

若没有Wave窗口

ModelSim的wave波形窗口在哪里打开

点击View勾选Wave

在Objects中右键变量->点击Add Wave使其添加到Wave窗口中

仿真结果

点击运行按钮

四选一功能是正确的

sel是00
out和in0保持一致

结束仿真

五、打开已建立过的工程

File -> Open

在弹出的窗口中,文件类型选.mpf

然后路径指到工程所在文件夹,选择建立的.mpf文件即可

六、相关实验

一、实验二选一

编写代码

module mux21(in1,in2,sel,out);input[3:0]in1,in2;input sel;output[3:0]out;wire[3:0]out;assign out = (!sel)?in1:in2;
endmodule
module mux21_tb;reg in1,in2;reg sel;wire out;mux21 uut(.in1(in1), .in2(in2),.sel(sel),.out(out));initial beginin1 = 0; in2 = 0; sel = 0;#100 in1 = 1; in2 = 0; sel = 0;#100 in1 = 1; in2 = 1; sel = 1;#100 in1 = 0; in2 = 1; sel = 1;end
endmodule

仿真结果

二、实验四选一

编写mux41

设计代码

module mux41(input wire in0,input wire in1,input wire in2,input wire in3,input wire [1:0] sel,output reg out);always@(*)case(sel)2'b00: out=in0;2'b01: out=in1;2'b10: out=in2;2'b11: out=in3;default: out=1'b0;endcase
endmodule

编写mux41_tb

测试代码

module mux41_tb;reg in0,in1,in2,in3;reg [1:0] sel;wire out;mux41 uut(.in0(in0), .in1(in1), .in2(in2), .in3(in3),.sel(sel), .out(out));initial beginin0=0;in1=0;in2=0;in3=0;  sel=2'b00;#100 in0=1;in1=0;in2=0;in3=0;   sel=2'b00;#100 in0=1;in1=0;in2=0;in3=0;   sel=2'b01;#100 in0=1;in1=1;in2=0;in3=0;   sel=2'b01;#100 in0=1;in1=1;in2=0;in3=0;   sel=2'b10;#100 in0=1;in1=1;in2=1;in3=0;   sel=2'b10;#100 in0=1;in1=1;in2=1;in3=0;   sel=2'b11;#100 in0=1;in1=1;in2=1;in3=1;   sel=2'b11;end
endmodule

运行仿真

若出错

** Error (suppressible): (vsim-12110) All optimizations are disabled because the -novopt option is in effect. This will cause your simulation to run very slowly. If you are using this switch to preserve visibility for Debug or PLI features, please see the User’s Manual section on Preserving Object Visibility with vopt. -novopt option is now deprecated and will be removed in future releases.

出错解决
Modelsim SE-64 2020.4 不能关闭优化的错误及其解决 Error (suppressible): (vsim-12110)

若没有Wave窗口

ModelSim的wave波形窗口在哪里打开

点击View勾选Wave

在Objects中右键变量->点击Add Wave使其添加到Wave窗口中

仿真结果

点击运行按钮

四选一功能是正确的

sel是00
out和in0保持一致

结束仿真

三、实验D触发器

编写代码

设计代码

module dff(din,clk,q);input din,clk;output q;reg q;always @(posedge clk) q<=din;
endmodule

测试代码

`timescale 1ns/1ns
module dff_tb;reg clk,data_in;wire data_out;dff U1(data_in,clk,data_out);always #5 clk=~clk;  initialbeginclk=0;data_in=0;  #20 data_in=1;#20 data_in=0;#20 data_in=1;#15 data_in=0;#15 data_in=1;end
endmodule

开始仿真


右键 simulate

仿真结果

分析波形。
在时钟上升沿,Dout=Din。
D触发器功能正确。

最后

请您阅读文章声明,默认同意该声明
打赏通道

Modelsim下载安装【Verilog】相关推荐

  1. 最新Quarters II 13.1 下载安装全教程 + ModelSim联调(2022/12/11 )

    目录 1.Quarters II 13.1 下载安装 1.先下载好Quarters软件 和 ModelSim 软件 重要链接见后面!重点解决了视频中存在的一些问题! 2.Quarters 安装(补充视 ...

  2. Modelsim.ase的下载安装

    Modelsim.ase的下载安装 Modelsim有着几个不同的版本,其中SE是主要的版本号,也是最高级的版本.但对于小型设计(针对学生而言),Modelsim.ase版本足够用,且该版本是完全免费 ...

  3. 联调QuartersII + ModelSim(下载安装)

    目录 1.ModelSim安装 1.之前埋得坑: 2.如果额外装完整版的ModelSim,我还是推荐按照这个博客的步骤就好,安装文件我已经在上一篇博客一起给大家了!!! 3.存在的问题--一定要管理员 ...

  4. Quartus II与Modelsim软件安装教程

    Quartus II与Modelsim软件安装教程 一.Quartus II软件安装 1.Quartus II安装 2.器件安装 3.Quartus 破解 4.USB Blaster 驱动安装 二.M ...

  5. Sublime Text 3便携版下载安装和常用插件安装--顺便解决报错An error occured installing和no packages available for install

    文章目录 Sublime Text 3便携版下载安装和常用插件安装 1.Sublime Text 3便携版下载: 2.sublime 插件控制器(Package Control)安装 2.1离线安装P ...

  6. Verilator简介及其下载安装卸载

    一. 简介 Verilator是一种Verilog/SystemVerilog仿真器,可用于编译代码以及代码在线检查.Verilato和rIverilog都号称是全球第四大HDL仿真器,两者都是开源免 ...

  7. Modelsim SE 安装及使用

    Modelsim SE 安装及使用 一.Modelsim下载及安装 1. 下载 2. 安装 3. 注册 参考 一.Modelsim下载及安装 1. 下载 百度网盘:https://pan.baidu. ...

  8. Redis学习之路(一)--下载安装redis

    redis学习之路--下载安装redis windows安装redis 1.下载redis 2.安装 3.查看是否安装成功 windows安装redis 1.下载redis 网址:https://gi ...

  9. git 下载 安装

    1.下载Git,官网地址:https://git-scm.com/,进入官网首页 在右下方的显示器中找到最新的版本下载,点击下载,跳转到下载页面 下载完成 2.安装Git 双击刚刚下载完成的安装文件, ...

  10. Docker初学5:下载安装可视化图形工具Portainer

    下载安装可视化图形工具Portainer # 搜索Portainer [root@iZh40ti53pk77iZ ~]# docker search portainer NAME DESCRIPTIO ...

最新文章

  1. CVPR-2021收集
  2. Model和ViewModel
  3. ActiveMq消费端实现集群部署
  4. .NET 6新特性试用 | Controller支持IAsyncDisposable
  5. X-lab 开放实验室开源创新的故事
  6. mongo:(2)mongoDB简介
  7. Linux里怎么进行路由跟踪,[Linux] traceroute 路由跟踪指令用例
  8. Java虚拟机10:类加载器
  9. Apache Bench
  10. 20 个 GPU 可承载相当于全球互联网流量、Grace CPU 超级芯片现世,英伟达这届 GTC 发布了什么?...
  11. vue 计算属性和data_Vue 计算属性问题?
  12. Springboot之idea之pom文件图标不对
  13. 43次课(限定某个目录禁止解析php、限制user_agent、 php相关配置)
  14. linux定时备份Mysql
  15. 西威变频器avo下载调试资料_变频器设置面板及参数设置方法
  16. 宝塔搭建网站教程php,怎么利用宝塔面板搭建网站详细教程
  17. 无刷电机和有刷电机对比
  18. 2021年煤气考试题库及煤气免费试题
  19. Robot 监控服务器资源
  20. 解决[WinError 87] pip自动安装不成功问题

热门文章

  1. 第19节贝叶斯原理及实例
  2. 神器!Alibaba Sentinel,功能真心强大!
  3. 10分钟入门Shell脚本编程
  4. 纯电动两档箱实际项目模型,本模型基于Cruise软件和搭建完成,本资料包包含所有源文件
  5. 基于嵌入式linux电子相册代码,基于嵌入式ARM_Linux的电子相册设计方案.docx
  6. 什么是 Rootkit?
  7. carrot2中lingo实现总结(一)
  8. visio一分二的箭头_Microsoft Office Visio绘画双箭头直线的具体步骤介绍
  9. android textview显示表情,Android开发-TextView中显示QQ表情类的图片和超链接
  10. VFP用Foxjson玩转JSON,超简单的教程