这里描述一个2选1的数据选择器
Verilog HDL数据流设计2选1的数据选择器

module mux_2to1_fan(s0,in0,in1,out);input [1:0] s0,in0,in1;output [1:0] out;wire [1:0] in0_temp;wire [1:0] in1_temp;assign in0_temp= in0/2;assign in1_temp= in1%2;assign out=s0?in1_temp:in0_temp;
endmodule

用Verilog HDL语言编写的测试程序代码如下

module mux_2to1_fan_tb;
reg [1:0] s0,in0,in1;
wire [1:0] out;mux_2to1_fan inst (.s0    (s0),.in0   (in0),.in1   (in1),.out   (out)
);initial beginrepeat (5) begin#0 s0=2'b00;#100 s0=2'b10;#50 s0=2'b01;#100 s0=2'b11;#100;end$finish();
end
initial beginin0=1'b0;forever begin#5;in0=~in0;end
endinitial beginin1=1'b0;forever begin#10;in1=~in1;end
end
initial begin$fsdbDumpfile("mux_2to1_fan");$fsdbDumpvars;$vcdpluson;end
endmodule

用Verdi软件打开电路图分别为:


用DVE软件打开电路图分别为:

二选一数据选择器2-1 MUX相关推荐

  1. FPGA(3)验证数字逻辑(与门、与非门、二选一数据选择器、2-4译码器、半加器、全加器)

    目录 一.验证与门 二.验证与非门 三.验证二选一数据选择器 四.验证2-4译码器 五.验证半加器 六.验证全加器 0.初始化定义 1.第一个半加器 2.第二个半加器 3.得到最终进位Co 代码 0决 ...

  2. Verilog二选一数据选择器

    //二选一数据选择器module mux2_1(a0,a1,s,f) input a0,a1,s; output f;//默认是wire(线)变量 assign f=(s)?a1:a0;//assig ...

  3. Quartus II使用说明(Verilog HDL二选一数据选择器 )

    <可编程数字逻辑电路设计>课程中Quartus II软件的使用说明 VHDL和Verilog HDL不一样. 1.新建文件夹,里面包含5个子文件夹(doc/img/prj/rtl/test ...

  4. # Quartusll采用IF设计二选一数据选择器及仿真

    Quartusll采用IF设计二选一数据选择器及仿真 软件Quartusll9.1 没有软件的小可爱先来领取资源哈~~(9版本以后就不自带仿真) 链接:https://pan.baidu.com/s/ ...

  5. VHDL实验一:2选1数据选择器(绘制原理图)

    一.实验要求 2选1数据选择器 输入信号:两个数据源a和b,选择端s.输出信号:选择输出端y.利用选择端s对输出端进行控制.达到2选1数据选择器的效果. 二.实验内容 1.二选一数据选择器的原理图: ...

  6. FPGA(1)--VHDL--6选1数据选择器

    文章目录 一.实验目的 二.实验内容 三.实验设计 四.实验结果及仿真 五.实验思考与总结 一.实验目的 掌握用VHDL语句进行组合电路设计的方法,并熟悉程序的编译.调试与波形图的仿真. 二.实验内容 ...

  7. VHDL四选一数据选择器和基本触发器的设计

    主要内容: 本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现. 四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出. ...

  8. 跟着小梅哥初学FPGA ,vivdao开发平台,二选一多路选择器。

    今天正式开始自己的FPGA自学之路,由于导师项目需要,xilinx ZYNQ 7系列的板子,vivado软件平台进行开发,所以自己也直接学习vivado软件开发的板子,我自己学习所用的开发板是xili ...

  9. 4片74151扩展为32选1数据选择器

    在做较为复杂的电路设计时, 比如设计过程包含对于5变量逻辑函数的实现, 此时若用大量的逻辑门来实现, 那无疑是耗时耗力的: 若直接用32选1数据选择器进行实现, 则只需对照真值表来接线即可, 这样免去 ...

  10. Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器

    Verilog--74HC151八选一数据选择器并扩展为16选1数据选择器 74HC151的仿真 设计思路 采用行为级建模,根据74HC151八选一数据选择器的功能表编程即可. 代码实现 设计模块 / ...

最新文章

  1. 一般认为计算机发展历史经历,2018年职称计算机考试考前冲刺练习及答案(1)...
  2. vs2015 x86 opencv3.3(编译)
  3. 企业中常见的推荐系统架构(附交流视频和PPT下载链接)
  4. bzoj 2141 : 排队 (cdq分治+bit)
  5. IPC生产者与消费者模型加线程
  6. Python RE模块中search()和match()的区别
  7. 交流电机数字控制系统_干货 | 简述伺服电机和步进电机的六大性能差异
  8. android小项目数字拼图游戏_Java小项目之:拼图游戏
  9. 清华大学计算机信息学院舒教授,清华大学出计算机与信息分社.ppt
  10. 极客大挑战基础部分解题过程
  11. winrar加密分析
  12. PayPal社交游戏及移动娱乐产业的海外商机
  13. 在CAD制图软件中标注数学公式的操作技巧
  14. leaflet实现风场流动
  15. python判断素数_小白学Python | 你还在说你入不了门吗
  16. 候选码主属性非主属性
  17. cisco pkt 路由器配置基础及接口配置 路由协议与交换技术
  18. android与华为窄带物联网,中国移动联合华为在成都布局窄带物联网
  19. GO语言基础进阶教程:sync包——WaitGroup
  20. BR系列罗氏线圈变送器 安科瑞 时丽花

热门文章

  1. 数据库的使用(SQL)
  2. Js 给JSON对象排序
  3. Java图书管理系统(控制台程序)
  4. app上显示服务器数据加载失败,APP中的6种常见数据加载
  5. newifi3 高恪魔改_新路由3高恪魔改固件
  6. 概率论基础——概率论公理
  7. QT学习五之界面切换
  8. 手柄游戏之《恶魔城暗影之王》推荐
  9. 电话机器人源码,语音电话机器人
  10. seay源代码审计系统的使用方式