在Simulink中进行的仿真是属于系统验证性质的,是对MDL文件进行的仿真,并没有对生成的VHDL代码进行过仿真。事实上,生成VHDL描述的是 RTL级的,是针对具体的硬件结构的,而在Matlab的Simulink中的模型仿真是算法级的,两者之间有可能存在软件理解上的差异。转换后的 VHDL代码实现可能与MDL模型描述的情况不完全相符。这就需要针对生成的RTL级VHDL代码进行功能仿真。为此利用ModelSim对多波信号发生器进行RTL级进行仿真,以验证多波信号发生器设计的正确性,其仿真波形如图2所示,由此可以看出其设计是正确的[3]。

4、多波信号发生器的顶层设计及仿真结果

整体电路采用原理图描述和VHDL语言相结合的方式构成,在Quartus II软件中实现综合及仿真。顶层原理图如图3所示,为了达到输出信号的有选择的目的,设计了一个多路选择顺,该多路选择器的采用VHDL语言描述,其源代码如下:

library ieee;

use ieee.std_logic_1164.all;

entity test4 is

port(d1,d2,d3,d4: in std_logic_vector(7 downto 0);

sel: in bit_vector(1 downto 0);

q: out std_logic_vector(7 downto 0));

end test4;

architecture rtl of test4 is

begin

process(d1,d2,d3,d4,sel)

begin

case sel is

when 00 => q <= d1;

when 01 => q <= d2;

when 10 => q <= d3;

when 11 => q <= d4;

end case;

end process;

end rtl;

经过Quartus II的综合与仿真,结果表明,能够实现多种波形信号的功能。图4是Quartus II的仿真波形。

5  结语

经过Quartus II仿真正确后,即可将项目编译生成的编程文件下载到FPGA器件中,完成器件编程,经测试表明,电路实际工作的结果与仿真时的结果一致,达到了设计要求。

本文作者创新点在整个多波形信号发生器的设计过程中,充分利用了Matlab强大的数学计算功能来保证FPGA的设计的正确性,使整个设计非常简单,修改灵活。设计者不至于陷于复杂的VHDL 语言编程,只要在Matlab下建立系统模型,然后对各个模块的基本参数进行简单设置就可以实现复杂电子系统的设计。

dsp 正弦波信号发生器matlab程序,基于Matlab/DSP Builder多波形信号发生器的设计相关推荐

  1. 梯度算法的matlab程序,基于MATLAB的梯度法源代码

    话不多说,直接上干货.这是基于MATLAB的源代码,目标函数我选择了一个二维函数,根据自己需求,更改目标函数,初始迭代点以及收敛精度 第一部分:目标函数   根据自己需求,更改目标函数!!! func ...

  2. pq分解法matlab程序,基于MATLAB软件的PQ分解法潮流计算

    基于MATLAB软件的PQ分解法潮流计算 基于MATLAB软件的P-Q分解法潮流计算 摘要 电力系统潮流计算是研究电力系统稳态运行情况的一种重要的分析计算,它根据给定的运行条件及系统接线情况确定整个电 ...

  3. 太阳电池仿真模块 matlab 程序,基于MATLAB的月球车锂离子电池充放电过程仿真

    [实例简介] 基于MATLAB的月球车锂离子电池充放电过程仿真 第三届学术会议论文集 究电量 敢电电滩 negro 向电量 充电系俊 克电电浪 最大放电硅 Raon』 Operatoe 敛电 Cons ...

  4. 对称振子天线matlab程序,基于MATLAB研究对称振子天线的报告

    内容介绍 原文档由会员 霜天盈月 发布 基于MATLAB研究对称振子天线的报告 本文共计32页,13162字: 摘要 基于MATLAB研究了对称振子阻抗特性和图形仿真,同时针对对称振子阻抗特性给出了仿 ...

  5. 图像有损压缩matlab程序,基于Matlab的灰度图像DCT与RLE的混合有损压缩

    人工智能及识别技术本栏目责任编辑:唐一东第5卷第21期(2009年7月)基于Matlab 的灰度图像DCT 与RLE 的混合有损压缩 朱玲芳,刘任任 (湘潭大学信息工程学院,湖南湘潭411105) 摘 ...

  6. 控制系统 丢包 matlab 程序,基于MATLAB的网络控制系统仿真.pdf

    基于MATLAB的网络控制系统仿真.pdf 第36卷增刊 福州大学学报(自然科学版) V01.36 Supp. 塑墨生2旦 』竺翌堂垡!:竖塾2坚望堕!呈望立l塑呈!坚型童垄翌!皇2 塞P:趔墨 基于 ...

  7. am解调matlab程序,基于Matlab的AM调制解调.doc

    学号20100602050101 密级 公 开 本科毕业论文 基于Matlab的AM调制解调 及抗噪性能分析 学 院 名 称: 专 业 名 称: 学 生 姓 名: 指 导 教 师: 讲师 二〇一四年五 ...

  8. 粒子的散射模拟matlab程序,基于Matlab的α粒子的散射实验模拟.pdf

    您所在位置:网站首页 > 海量文档 &nbsp>&nbsp计算机&nbsp>&nbspmatlab 基于Matlab的α粒子的散射实验模拟.pdf3页 ...

  9. fdtd算法的matlab程序,基于MATLAB的FDTD算法编程

    文章编号 100426410 (2006) 040043204 基于MATLAB 的 FDTD 算法编程 赵 嘉 (广西工学院 计算机工程系, 广西 柳州 545006) 摘 要: 介绍了时域有限差分 ...

最新文章

  1. Android 解决不同进程发送KeyEvent 的问题
  2. 的数据湖_一文读懂云原生数据湖体系
  3. Linux目录详解,软件应该安装到哪个目录
  4. oracle里的查询转换
  5. 【渝粤教育】电大中专市场营销管理 (2)作业 题库
  6. Android JNI入门第五篇——基本数据类型使用
  7. python中import星_【已解决】Python中递归import导入:ImportError: cannot import name
  8. java xml 合并_Java中合并XML文档的合并
  9. html+css+js中的小知识点汇聚(无序 停更)
  10. java 数据结构之堆排序
  11. ubuntu14.04小米无线网卡驱动安装
  12. 如何搜索视频和字幕?
  13. 白帽子讲web安全读后感1
  14. Win10 环境变量配置
  15. “程序员猝死”引发的思考
  16. 桌面图标有蓝底处理刚才
  17. 深入学习 esp8266 wifimanager源码解析(打造专属自己的web配网)(最全的wifimanager介绍))
  18. 技术领导力1-CTO 能力、素质与战略类
  19. android手电筒
  20. 可微神经计算机(Differentiable neural computer)的介绍

热门文章

  1. 谷歌推出理解神经网络的新方法SVCCA | NIPS论文+代码
  2. [译] 我多希望在我学习 React.js 之前就已经知晓这些小窍门
  3. JS---Math.Random()*10--[0,10)随机变颜色
  4. ArchLinux借助Winetricks-zh安裝WineQQ8.1
  5. 我的MYSQL学习心得(十一) 视图
  6. Thumbnailator java图片压缩,加水印,批量生成缩略图
  7. 关于二级域名Cookie的问题及解决方法
  8. 无限级分销系统数据库表设计
  9. linux命令总结之tr命令
  10. 为什么我会被淘汰(续)