SATA接口数量被大幅削减

说完原生USB3.0,我们再说说原生SATA接口。由于SNB-E起源于服务器平台,所以其中多特性中的确包含许多“服务器”元素。无可否认的是,SNB-E确实由于架构的优势在性能方面尝到了甜头。而在早期的工程样板上,我们同样能够感觉到X79非常像一款服务器用主板。但是X79最后好像并没有达到人们预期的那样。

早期的X79工程样品原生SATA接口众多

其中最让我们感到遗憾的就是SATA接口,如果大家还记得Computex2011电脑展展出的X79主板就不难发现,数量众多的SATA接口成为了大家的焦点。除了数量上的优势,X79的磁盘接口甚至还支持SAS标准,虽然许多人可能并不会用到SAS硬盘,但是如果自己的主板支持这种标准,那还是非常令人振奋的。

正式版X79主板原生SATA接口“少得可怜”

不过这个强大的规格终究没有实现,由于X79芯片组的SATA控制器不够成熟,最终版的X79主板将接口精简到2个SATA3接口+4个SATA2接口,并且完全舍去了SAS支持。如此少的接口的确与X79的定位不符,而这也是众多玩家非常心痛的地方,众多主板厂商也不得不通过第三方芯片增加SATA接口数量,而这个也是最有可能在以后新步进的芯片组中得到改进的部分。

三方的SATA主控芯片也是经常能够在X79主板上看到

虽然很少的SATA接口让人觉得的确很“难看”,但是这并不会影响到平台的整体性能,并且剩余的SATA接口虽不能支持SAS,但是仍然可以支持RAID,所以在功能上也没有什么让人遗憾的地方,再加上第三方芯片扩展出的接口,目前X79主板的SATA接口已经能够满足我们的日常使用,所以SATA接口方面虽然遗憾,但是我们还是能够接受这个事实的。

服务器sata接口整体性能,SATA接口数量被大幅削减相关推荐

  1. 服务器sas接口怎么接sata硬盘吗,SAS接口(sas硬盘改sata家用)

    SAS的接口技术可以向下兼容SATA.具体来说,二者的兼容性主要体现在物理层和协议层的兼容.在物理层,SAS接口和SATA接口完全兼容,SATA硬盘可以直接使用在. sata是普通民用接口,一般接的都 ...

  2. AHCI协议、NVME协议、SATA总线、PCIe总线、SATA接口、PCIe接口、M.2接口

    内容来自 硬件茶谈 硬盘的SATA M.2 NGFF NVME是什么意思,详解硬盘的总线协议与接口 侵删. 一.协议 AHCI和NVME协议民用很多,而SCSI协议大多用在服务器上. 二.总线   总 ...

  3. 固态硬盘是什么接口_SATA接口和M.2接口的固态硬盘哪个好?SATA接口和M.2接口的区别...

    机械硬盘作为木桶效应中的短板,在电脑中明显拖了后腿,对于读写速度的发展已经是瓶颈,这也是目前新装机或者升级电脑都会采用固态硬盘一大原因.固态硬盘目前流行且常见的接口分两种,分别SATA接口和M.2接口 ...

  4. sata接口_固态硬盘应该怎么选?是SATA接口还是M.2接口好

    我们在组装电脑时,都会遇到很多有关硬件的问题,这主要的原因是我们对硬件的不熟悉,还有就是硬件的不断更新换代,因而在硬件的选配上不知如何选择. 就如硬盘的选择,在固态硬盘还没有成为主流配置,机械硬盘的选 ...

  5. nvme协议 sata接口_nvme和sata协议游戏测评

    NVMe协议与SATA的异同 PCIe实际上是一个通道协议,它实际上是主板上的PCIe接口.这些信道协议属于总线协议,可以直接连接到CPU,因此几乎没有延迟,成为NVMe标准的完美伴侣.然而,在AHC ...

  6. 计算机主板上的SATA接口是串行接口,sata接口怎么接 小编为您系统整理方法步骤【图文】...

    sata接口是笔记本以及电脑的总线,它可以存储很多东西,也可以当成主板使用,各种设备.数据之间的传输,sata都可以胜任.相对于ata接口而言,sata还是相对好用的,这种接口可以串联也可以并联,而且 ...

  7. nvme协议 sata接口_NVMe和SATA SSD的区别

    随着NAND技术的升级迭代,堆栈层数不断提高使得SSD单位容量成本不断下降,消费级市场基本已经成为了SSD的天下. 目前主流的SSD大致有两种接口,分别是M.2和SATA两种类型. NVMe/SATA ...

  8. 磁盘结构简单介绍,硬盘工作原理,接口种类IDE,SATA,SCSI,FC接口,主引导技术MBR,文件系统类型

    磁盘的结构 物理结构: 盘片:硬盘有多个盘片,每个盘片2面 磁头:磁盘里最贵的一个,非接触式,读写合一分离式 数据结构: 扇区:盘片被平均分割成多个扇形区域,每个存放512字节 磁道:同一个盘片不同半 ...

  9. FPGA SATA IP控制器的SATA接口调试记录

    本文档是基于FPGA K7 SATA IP控制器的SATA接口调试记录,接口遵循标准的ACHI协议. 操作系统内核版本:5.4.18 由于K7PCIE只有3个bar,AHCI协议规定SATA控制器是在 ...

最新文章

  1. C# 真正能发邮件的源码
  2. Xilinx® 7 series FPGAs CLBs专题介绍(一)
  3. vue - 响应式原理梳理(一)
  4. iOS之深入解析GCD的底层原理
  5. ios文件连接服务器无法写入,iOS写入数据到文件中
  6. The example program of C on point
  7. android 复选框 textview,Android CheckedTextView:简单点,有我就够了
  8. linux ssh 双机互信
  9. 条码打印软件如何实现二维码内容换行显示
  10. android版本升级功能,手机安卓系统怎么升级 安卓系统更新升级的三种方法介绍...
  11. 电路设计_元器件选型总结1
  12. Junit 单元测试 mybatis
  13. Java自动化测试系列[v1.0.0][TestNG测试开发环境配置]
  14. 独轮平衡车c语言源码,双轮平衡车程序 - 源码下载|嵌入式/单片机编程|源代码 - 源码中国...
  15. 控制工程/机器人SLAM/机器视觉/避障导航/求职笔记
  16. 药品名智能分类模型(化药_中成药_中药材)
  17. 图案设计灵感怎么写_服装设计灵感来源怎么写_服装设计理念怎么写
  18. 算法基础:4115:鸣人和佐助--广度优先搜索
  19. 初级黑客必需撑握的8个DOS命令
  20. 富士施乐3065扫描教程_精简高效灵活 富士施乐3065使用测试

热门文章

  1. Android 手势锁的实现 让自己的应用更加安全吧
  2. 帆软认证报表工程师(FCRA)考试考题(我的错题记录)
  3. 机顶盒显示无服务器,电视机顶盒无信号是什么原因 电视机顶盒无信号解决方法...
  4. Linux 嵌入式开发 网络编程: day4
  5. iOS 后台运行保活
  6. Landsat5数据下载中国地区1987年
  7. 《管理学原理》题库(4套)
  8. 卡尔曼滤波:高斯过程 + 贝叶斯推断
  9. 《地理信息系统导论》绪论 复习题
  10. 【步进电机和 Arduino】