1.PIC16F876a结果寄存器

ADCON1状态寄存器的7bit位定义了输出结果是左对齐还是右对齐,比较迷茫,不懂在说什么,查阅了一下DataSheet,如下:

从上面的示意图可以看出,结果寄存器的对齐方式是为了方便我们读取转换后的数据。示意图不是很详细,我们可以看一下AD的结果寄存器。

AD的结果寄存器是16位宽的,但是有六位是不用的,所以实际上读取10位数据。

2.与AD转换相关的寄存器一览

控制AD模块的所有寄存器如下图所示:

AD模块基本控制寄存器:ADCON0、ADCON1;主要管理AD模块上电、时钟选择、通道选择、结果寄存格式选择、AD转换启动等。

端口配置寄存器:TRISA、PORTA;主要定义模拟通道输入端口,以及锁定数据流向(Input=1)。

结果保存寄存器。

相关的中断寄存器:中断总开关、外围中断控制开关、AD中断使能、AD中断标志位;

3.AD模块设计的要领

1. 端口配置:
• 禁止引脚输出驱动器   • 将引脚配置为模拟输入引脚
2. 配置 ADC 模块:
• 选择 ADC 转换时钟    • 配置参考电压    • 选择 ADC 输入通道   • 选择结果的格式   • 启动 ADC 模块
3. 配置 ADC 中断 (也可以用查询的方式) :
• 清零 ADC 中断标志位  • 允许 ADC 中断   • 允许外设中断  • 允许全局中断
4. 等待所需的采集时间
5. 将 GO/DONE 置 1 启动转换。
6. 由如下方法之一等待 ADC 转换结束:
• 查询 GO/DONE     • 等待 ADC 中断 (允许中断)
7. 读 ADC 结果
8. 将 ADC 中断标志位清零 (如果允许中断的话,需要进行此操作)。

PIC单片机精通_A/D模数转换模块细节补充相关推荐

  1. PIC单片机精通_A/D转换异步串口通讯实例与详解

    1.前言 串口通信这个话题对于很多做机电一体化.机器人控制等系统协调的同学,根本不陌生.计算机软件(编程技术),近些年飞速发展.尤其是以机器学习.仿生控制.大数据为代表的AI行业.但是,软件及算法永远 ...

  2. PIC单片机精通_异步串口通讯实例与细节

    1.前言 PIC16F876a异步串口通信的定义以及寄存器控制,这里不再多谈,前面已经进行过详细的分析.这里注意集中在几个关键点上. 串口中断服务程序应该注意什么? 利用串口助手进行调试应该注意什么? ...

  3. PIC单片机精通_串口通讯与串口调试实例

    1.引子 团队之所以称之为团队,就意味着多个人在一起共事.需要的是谦虚,谦逊,切勿言之偏偏.也要学会尊重,任何一门编程语言都有自己的优势与不足,否则早就有一门语言一统天下啦!!!我由VB入门,利用C. ...

  4. PIC单片机精通_串口通信模块C实现

    1.串口通讯头/定义文件 usart.h #ifndef _SERIAL_H_ #define _SERIAL_H_#define BAUD 9600 #define FOSC 9216000L #d ...

  5. PIC单片机精通_ADC左对齐与右对齐的数据读取问题

    1.右对齐数据读取问题 其转换结果可以读取的语句为:     resualt=int(ADCH)*256+ADCL; 右对齐的时候,10位ADC的结果表示方式为ADCH:ADCL,ADCH是10bit ...

  6. PIC单片机 按键检测识别

    目录 按键和PIC单片机 一.按键 二.按键的物理连接与检测 三.PIC16F18854单片机 按键检测及显示实验 按键排布 预期效果 总体流程 中断服务程序 PIC汇编程序 按键和PIC单片机 一. ...

  7. pic单片机c语言存储器定义,PIC单片机C语言程序设计1 7.PDF

    PIC单片机C语言程序设计1 7 学电子跟我来FOLLOW ME PIC 单片机C 语言程序设计(1) ◆ 丁锦滔 编者按:为了帮助具有PI C 单片机汇编语言知识的技术人员或工程师,快速掌握利用C ...

  8. pic1路AD转换c语言,pic单片机的ad转换(实例解析)

    描述 AD转换就是模数转换.顾名思义,就是把模拟信号转换成数字信号.主要包括积分型.逐次逼近型.并行比较型/串并行型.Σ-Δ调制型.电容阵列逐次比较型及压频变换型.A/D转换器是用来通过一定的电路将模 ...

  9. MSP430F5529 DriverLib 库函数学习笔记(八)模数转换模块(ADC12)

    目录 硬知识 模数转换概述 MSP430单片机ADC12模块介绍 MSP430单片机ADC12模块操作 ADC12的转换模式 采样和转换 转换存储器 使用片内集成温度传感器 ADC12模块寄存器 AD ...

最新文章

  1. ​不唯SCI,博士生要怎么培养?当我们反对SCI至上时,我们到底在反对什么?...
  2. HTML特殊字符编码对照表
  3. 为什么要阅读——兼分享《首先,打破一切常规》[中译文]:世界顶级管理者的成功秘诀/(美)马库斯·白金汉,(美)柯特·科夫曼 著...
  4. Dapr + .NET 实战(十二)服务调用之GRPC
  5. android -------- 解决NDK开发中的 Method 'NewStringUTF' could not be resolved
  6. springSecurity jwt 认证与鉴权及异常
  7. 弹性地基梁板的计算理论_龚晓南院士:30年创新实践,为地基处理开出“良方”...
  8. oracle数据库,使用plsql导出表数据缺少空表
  9. Redis - NX与XX
  10. 控制 Egress 流量
  11. python 喜马拉雅_Python爬虫:喜马拉雅FM
  12. Debian 国内常用镜像源
  13. 零代码搭建一个温度传感器数据采集与显示软件
  14. 新支持APP 公众号聊天在线客服程序源码
  15. ffmpeg的安装与使用
  16. 云计算——网络布线(1)
  17. java 只获取年月日_Java获取当前时间的年月日方法
  18. 2022年回顾,以及2023年需要习惯上需要改善的、还有几个flag
  19. 科研 | 认知电子战简介
  20. UI/UE实战之一:巧用Photoshop实现商业人像修图-CSDN公开课-专题视频课程

热门文章

  1. 222. Count Complete Tree Nodes
  2. RTSP学习笔记(2)live555
  3. glance was not installed properly
  4. 菜鸟级springmvc+spring+mybatis整合开发用户登录功能(下)
  5. NYOJ 586 疯牛 POJ 2456(二分搜索 + 贪心)
  6. NYOJ 608 畅通工程 并查集
  7. golang之‘...‘的用法
  8. Weblogic部署web项目获取项目根目录为null
  9. 「Python」10个python项目
  10. hdu 5792 World is Exploding 树状数组