1.简介

1.在数字电路设计的过程中,常常需要对较高频率的时钟信号进行分频操作,从而得到较低频率的时钟信号。
一个硬件电路,如果时钟信号设计的不对,将会导致整个硬件电路设计的失败。
2.分频器:完成对时钟信号的2分频,4分频,8分频和16分频

2.分频器设计

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;entity clk_2468 isport(clk : in std_logic;clk_div2,clk_div4,clk_div8,clk_div16 : out std_logic);
end clk_2468;architecture behave of clk_2468 issignal count : std_logic_vector(3 downto 0);
beginprocess(clk)beginif(clk'event and clk = '1') thenif(count = "1111") thencount <= (others => '0');elsecount <= count + 1;end if;end if;end process;clk_div2 <= count(0);clk_div4 <= count(1);clk_div8 <= count(2);clk_div16<= count(3);
end behave;

3.偶数倍分频器(8分频)

library ieee;
use ieee.std_logic_1164.all;entity divider_8 isport(clk : in std_logic;oul : out std_logic);
end divider_8;architecture behave of divider_8 isconstant n : integer := 3;signal counter : integer range 0 to n;signal s1 : std_logic;
beginprocess(clk)beginif rising_edge(clk) then             --严格的上升沿if counter = n thencounter <= 0;                  --每四个上升沿,counter就清零s1 <= not s1;                    --s1就反转(0与1)elsecounter <= counter + 1;end if;end if;end process;oul <= s1;
end behave;

4.占空比为1:15的16分频器

library ieee;
use ieee.std_logic_1164.all;entity div1_15 isport(clk : in std_logic;clk_div16 : out std_logic);
end div1_15;architecture behave of div1_15 issignal count : std_logic_vector(3 downto 0);
beginprocess(clk)beginif(clk'event and clk = '1') thenif(count = "1111") thenclk_div16 <= '1';elseclk_div16 <= '0';end if;end if;end process;
end behave;

5.奇数倍分频器(3分频)

library ieee;
use ieee.std_logic_1164.all;entity divider_3 isport(clock : in std_logic;clk : out std_logic);
end divider_3;architecture behave of divider_3 isconstant n : integer := 2;signal counter : integer range 0 to n;signal temp1 : std_logic;signal temp2 : std_logic;
beginprocess(clock)beginif rising_edge(clock) then    if counter = n thencounter <= 0;temp1 <= not temp1;elsecounter <= counter + 1;end if;end if;if falling_edge(clock) thenif counter = 2 thentemp2 <= not temp2;end if;end if;end process;clk <= temp1 xor temp2;
end behave;

VHDL——分频器设计相关推荐

  1. (96)分频器设计(任意分频器)

    (96)分频器设计(任意分频器) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)分频器设计(任意分频器) 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 ...

  2. (95)分频器设计(偶数分频)

    (95)分频器设计(偶数分频) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)分频器设计(偶数分频) 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1 ...

  3. (94)分频器设计(奇数分频)

    (94)分频器设计(奇数分频) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)分频器设计(奇数分频) 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1 ...

  4. verilog将100mhz分频为1hz_verilog—分频器设计

    常用分频器设计 (含 0.5hz . 1hz . 2hz . 100hz . 1khz . 100khz . 1MHZ ) 一. 原理图 二. 程序(输入频率为 50MHZ ) module divc ...

  5. 数字分频器设计(偶数分频、奇数分频、小数分频、半整数分频、状态机分频|verilog代码|Testbench|仿真结果)

    数字分频器设计 一.前言 二.偶数分频 2.1 触发器级联法 2.2 计数器法 2.3 verilog代码 2.4 Testbench 2.5 仿真结果 三.奇数分频 3.1 占空比非50%奇数分频 ...

  6. (萌新的数电学习)用VHDL语言设计CPU

    一.目的: 完整.连贯地运用<数字逻辑>所学到的知识,熟练掌握 EDA 工具基本使用方法,为学习好后续<计算机原理>课程做铺垫. 二.设计内容 (一) 按照给定的数据通路.数据 ...

  7. verilog将100mhz分频为1hz_50m 分频器设计——50MHZ(含verilog程序)

    50m 分频器设计--50MHZ(含verilog程序) 分频器设计 一.实验目的 1.熟悉分频器的原理: 2.掌握采用Verilog HDL语言设计分频器的方法: 3.进一步学习利用VerilogH ...

  8. 分频器设计(三)小数分频

    前面分频器设计(一)和分频器设计(二)分别介绍了偶数和奇数分频(即整数分频),接下来本文介绍小数分频. 对于要求相位以及占空比严格的小数分频,建议采用模拟电路实现.而使用数字电路实现只能保证尽量均匀, ...

  9. 全国大学生电子设计竞赛综合测评——常用电路Multisim仿真——数字芯片74LS74构建分频器设计

    2017年综合测评仿真电路讲解:https://blog.csdn.net/DengFengLai123/article/details/99290583 题目和结果链接:https://blog.c ...

  10. verilog将100mhz分频为1hz_verilog—分频器设计 -

    常用分频器设计 (含0.5hz.1hz.2hz.100hz.1khz.100khz.1MHZ) 一. 原理图 二. 程序(输入频率为50MHZ) module divclk(clk,div05hz,d ...

最新文章

  1. AI时代的全链路监控,阿里工程师怎么做?
  2. 五一快到了,我也该走了
  3. markdownpad2 html渲染组件出错_「万字长文」一文吃透React SSR服务端同构渲染
  4. AIM Tech Round 4 (Div. 2)
  5. Ergo生态:首个算法稳定币SigmaUSD正式启动
  6. Java 开发必备,EasyExcel 操作详解!
  7. Unity3D开发之画墙、地面分割(户型绘制)
  8. 拍牌服务器提交中断,沪牌拍牌的经验总结
  9. 最新域名防红程序源码 采用小Q防红
  10. 【经典】双子男与天蝎女的爱情故事
  11. 原创|对接三方服务商回调鉴权的程序代码设计
  12. 02_菜鸟也能懂的 - 音视频基础知识。
  13. 2022“杭电杯”中国大学生算法设计超级联赛(1)C.Backpack
  14. 梯度下降法python
  15. Xshell远程登录本地虚拟机(保姆级教学)
  16. 学会python语法后的第一个爬虫
  17. 2019年5月7日公布的全国水质排行榜_全国城市水质排名一览表
  18. CAD无法拖动工具栏或菜单解决方法
  19. Oracle 12C R2-新特性-自动锁住不经常使用的用户
  20. post数据过大的问题

热门文章

  1. (转)74系列芯片使用汇总
  2. 本地与远程服务器之间的文件传输
  3. 火焰检测的相关步骤及算法综述
  4. WordPress模板制作教程
  5. 【JS】暑假余额倒计时
  6. 倒立摆系统的多种控制器设计
  7. ITIL-IT运维管理-概述
  8. ASP.NET动态网站开发培训-26.在线编辑器FreeTextBox的使用
  9. 实现光标放置图片的位置放大图片
  10. linux抓包工具分析工具下载,Linux下抓包工具tcpdump以及分析包的工具wireshark