本工程在ISE下创建,使用verilog HDL语言。

实现跳舞机的功能,可以通过开关控制速度,根据VGA显示的内容,按指定的按键,实现分数的统计,同时有背景音乐。

本工程顶层模块下包括10个子模块。

UCF文件配置管脚。

本工程截图如下所示:

顶层模块设计代码:

`timescale 1ns / 1ps

module top(
    input clk, 
    input clr,
    input [5:0] data,
    input sw,
    input [1:0] speed,
    output hs, 
    output vs,
    output voice2,
    output voice1,
    output[2:0]red, 
    output[2:0]green, 
    output[1:0]blue,
    output[3:0]w,
    output[7:0]a,
    output ena1,
    output ena2,
    output change
    );

wire c

基于FPGA跳舞机实现相关推荐

  1. 基于FPGA的跳舞机实现

    本文使用FPGA实现跳舞机.使用verilog语言实现,在ISE下创建的工程. 功能如下: 本设计中输入为上下左右4个按键,输出有数码管和LED灯. 通过对上下左右的按键正确,数码管和LED灯有相应的 ...

  2. 一种基于FPGA 的1080p 高清多摄像头全景视频拼接的泊车(机)

    < > 一种基于FPGA 的1080p 高清多摄像头全景视频拼接的泊车(机)实时影像系统 一.本发明要解决的实际问题 1. 汽车左右反光镜及后视镜的视角有限,导致车身周围存在盲区,在特殊驾 ...

  3. 基于FPGA的USB高速数据采集系统(免做上位机)

    本篇分享基于FPGA的USB高速数据采集系统,上位机软件采用赛普拉斯官方提供的上位机软件,实现前端AD的采集,经过FPGA处理之后通过USB传输到上位机,将数据保存下来,然后通过MATLAB可以将AD ...

  4. 小梅哥FPGA:基于线性序列机的TLC5620型DAC驱动设计

    小梅哥FPGA:基于线性序列机的TLC5620型DAC驱动设计 目标:学会使用线性序列机的思想设计常见的有串行执行特征的时序逻辑 实验现象:在QuartusⅡ软件中,使用ISSP工具,输入希望输出的电 ...

  5. 计算机组成原理课程设计:基于FPGA的Verilog模型机设计。

    理解简单模型机的工作原理,理解程序计数器,算数逻辑运算单元,控制单元,的工作原理.学会设计以及使用指令完成一定的功能,并将程序写入FPGA开发板并结合led灯数码管予以显示. 通过模型机设计可以掌握用 ...

  6. 基于FPGA的iic主从机驱动实现 Verilog实现iic slave和iic master

    基于FPGA的iic主从机驱动实现 Verilog实现iic slave和iic master 顶层模块实现master对slave自定义的寄存器读取 带仿真模块 ID:153069768739840 ...

  7. 基于FPGA系统合成两条视频流实现3D视频效果

    目录 1.概述 2.时钟架构 3.带锁定视频解码器的同步系统 4.异步视频系统 4.1.时钟三态模式 4.2.两条视频流中的数据对齐误差 4.3.行锁定摄像机对齐误差 4.4.不同的连接长度 4.5. ...

  8. 基于FPGA的以太网开发

      基于FPGA的以太网开发,在调试过的FPGA玩家开来,其实算不上很难的技术!但是如果只是菜鸟级别的选手,没有调试过的话,就有些头疼了!早在自己在实习的时候,就接触到XAUI(万兆以太网口)接口,但 ...

  9. 基于labview的温湿度数据采集_【零偏原创】基于FPGA的多路SPI接口并行数据采集系统...

    摘 要:本文简述了SPI协议,建立了基于FPGA的SPI接口电路模型,并说明其输入输出端口和数据发送和接收过程,仿真验证了在主状态机控制下10个SPI接口并行采集数据,并在FPGA开发板上进行验证. ...

最新文章

  1. Alibaba Sentinel限流功能
  2. 干货 | tensorflow模型导出与OpenCV DNN中使用
  3. mysql解析运行时间_分析 MySQL 语句运行时间
  4. mysql主从复制 drbd_MySql主从复制简单案例实现
  5. jsp文件通常用common_29.jsp-动态生活之用Commons-FileUpload组件控制文件上传
  6. 对TELNET登陆路由器的用户进行限制
  7. swagger 修改dto注解_Swagger 详解
  8. resteasy_Tomcat 7上具有RESTeasy JAX-RS的RESTful Web服务– Eclipse和Maven项目
  9. Web Service 缓存
  10. 京东开普勒php接口,IOS菜鸟初学第十五篇:接入京东开普勒sdk,呼起京东app打开任意京东的链接-Go语言中文社区...
  11. # 取字典的值_python3的列表、元组、字典的复习和推导式和生成器小结
  12. 【WPF】关于XAML Parse Exception,无法创建XXX的实例异常的一点小心得
  13. java中h1.j有什么作用_Android JNI学习之javah命令的正确使用(找了好半天才找到的,汉,网上好多说法都没用)...
  14. 数据苦库中的几种规范
  15. 树莓派LINUX内核移植
  16. LTCC带通滤波器设计
  17. LSVGlobal Mapper应用----地形裁剪
  18. 处方常用拉丁词缩写与中文对照表
  19. discuz密码找回:忘记UCENTER创始人密码
  20. Android 多窗口

热门文章

  1. SAP CRM Fiori My note应用 add to -append Frontend implementation
  2. SAP ABAP OData gateway框架序列化和反序列化(serialization deserialization)的实现逻辑
  3. SAP Smart Business design time = CDS view SADL
  4. SAP UI5的source code map(源代码映射)机制
  5. 一个SAP开发人员2017年在编程和游泳上的双重修炼过程
  6. SAP Kyma组件一览
  7. 使用SAP C4C rule editor动态控制UI上某个按钮是否显示 - SAP Cloud for Customer UI 规则编辑器的使用一例
  8. 观察者模式在SAP CRM One Order回调函数中的应用
  9. 计算机专业伦理总结,《计算机伦理学》节译实践报告
  10. json string 格式_自己动手实现一个简单的JSON解析器