ZYNQ简介

ZYNQ 的全称是 Zynq-7000 All Programmable SoC。Zynq-7000 系列是 Xilinx 公司推出的全可编程片上系统(All Programmable SoC),包含 PS(Processing System,处理器系统)和 PL(Programmable Logic,可编程逻辑)两部分。

Zynq SoC 整合了 ARM 双核 cortex-A9处理器和 Xilinx 7 系列 FPGA 架构,也就是说ZYNQ实际上是一个片上系统(System on Chip,SoC),因此使得它不仅拥有 ASIC 在能耗、性能和兼容性方面的优势,而且具有FPGA 硬件可编程性的优点。同时将处理器的软件可编程性与FPGA的硬件可编程性进行完美整合,以提供无与伦比的系统性能、灵活性与可扩展性。该新型器件的可编程逻辑部分基于赛灵思 28nm 工艺的 7 系列 FPGA。

应用领域:汽车、通信、航天航空、机器人、仪器仪表、图像处理、视频处理、高性能计算(HPC)

什么是SOC?

SOC 片上系统(System on Chip,SoC)。

在说片上系统前先介绍板上系统。由处理器、通信接口、存储器、模数转换器等等电路模块构成的可以实现一定功能的电路系统,这些功能模块由分立器件实现,然后通过PCB组合在一起,形成了一个板上系统(System-on-a-Board)。板上系统的示意图如下所示:

片上系统(System-on-Chip)指的是在单个硅芯片就可以实现整个系统的功能,其示意图如下所示:

片上系统 SoC 在一个芯片里就实现了存储、处理、逻辑和接口等各个功能模块。与板上系统相比,SoC 的解决方案成本更低,能在不同的系统单元之间实现更快更安全的数据传输,具有更高的整体系统速度、更低的功耗、更小的物理尺寸和更好的可靠性。

在过去,SoC 这个术语常用于指专用集成电路(Application Specific Integrated Circuit,ASIC)。基于 ASIC的 SoC 的代表性例子包括在 PC、平板和智能手机上使用的处理器,如华为的麒麟系列芯片,高通的骁龙芯片。这些处理器典型地是由至少两个处理器核、存储器、图形处理器、接口和其他功能模块组合起来的。基于 ASIC的 SoC 的主要缺点有两个:1、开发周期长且成本巨大;2、缺乏灵活性。开发 ASIC 时不可重用的工程投入是巨大的,使得这种 SoC 类型只适合于大批量而且寿命有限的产品中。ASIC SoC 的局限性导致它们不适用于很多应用,特别是当快速投入市场能力、灵活性和升级能力已经成为重要的关键因素。对于小批量或中批量的产品,ASIC SoC 也不是好的解决方案。

可编程片上系统(SOPC,System-on-Progammable-Chip)为上述应用提供了一个更灵活的解决方案:一种在可编程、可重新配置的芯片上实现的 SoC。其中,可编程的芯片指的就是 FPGA。FPGA 天生的灵活性使其可以被随心所欲地重新配置,以实现不同系统的功能,包括嵌入式处理器。和使用 ASIC 来实现 SoC相比,FPGA 能构成更为基础灵活的平台,方便系统的升级。

相比于 SOPC,ZYNQ 为实现灵活的 SoC 提供了一个更加理想的平台: Xilinx 将其打造成“全可编程 片上系统(APSoC,All-Programmable SoC)”。它将处理器的软件可编程性与 FPGA 的硬件可编程性进行完美整合,以提供无与伦比的系统性能、灵活性与可扩展性。ZYNQ 是由两个主要部分组成的:一个由双核 ARM Cortex-A9 为核心构成的处理系统(PS,Processing System),和一个等价于一片 FPGA 的可编程逻辑(PL,Programmable Logic)部分。ZYNQ 架构的简化模型如下图所示:

在上图中,PS 具有固定的架构,包含了处理器和系统的存储器;而 PL 完全是灵活的,给了设计者一块“空白画布”来创建定制的外设。

在 ZYNQ 上,ARM Cortex-A9 是一个应用级的处理器,能运行像 Linux 这样的操作系统,而可编程逻辑是基于 Xilinx 7 系列的 FPGA 架构。ZYNQ 架构实现了工业标准的 AXI 接口,在芯片的两个部分之间实现了高带宽、低延迟的连接。这意味着处理器和逻辑部分各自都可以发挥最佳的用途,而不会产生在两个分立器件之间的接口开销。与此同时,又能获得系统被简化为单一芯片所带来的好处,包括物理尺寸和整体成本的降低。

ZYNQ的命名规则

以 ZYNQ-7000 系列的芯片为例,但 Artix7、Kintex7 和 Virtex7 这三个系列的命名规则是通用的:

ZYNQ“XC7Z020-CLG400-2”为例,“XC”是“Xilinx 公司”;“7”是 7 系列(Series);“Z”是型号是 zynq;“020”是价值索引(Value Index),该数值越大对应芯片内部资源越丰富,价值越高;“clg400”芯片封装信息,“CLG”是芯片制造工艺信息,“400”是芯片封装引脚计数(Package Pin Count);“-2”是速度等级(Speed Grade);“-I”是温度等级(Temperature Grade),温度等级有三种标准,分别是“C”、“E”与“I”。

C:商业级,表示芯片可以工作在 0°C 到+85°C;

E:扩展级,表示芯片可以工作在 0°C 到+100°C;

I:工业级,表示芯片可以工作在-40°C 到+125°C。

ZYNQ PL 简介

ZYNQ PL 部分等价于 Xilinx 7 系列 FPGA,因此我们将首先介绍 7 系列 FPGA 的架构。FPGA基本结构一般由五部分组成,分别为可编程输入/输出单元、基本可编程逻辑单元、嵌入式块RAM、布线资源和 IP 硬核单元,如下图所示:

每个单元的概念介绍如下:

可编程输入/输出单元

输入/输出(Input/Ouput)单元简称 I/O 单元,它们是芯片与外界电路的接口部分,完成不同电气特性下对输入/输出信号的驱动与匹配需求。为了使 FPGA 具有更灵活的应用,目前大多数 FPGA 的 I/O 单元被设计为可编程模式,即通过软件的灵活配置,可以适配不同的电气标准与 I/O 物理特性,可以调整匹配阻抗特性、上下拉电阻、以及调整驱动电流的大小等。

可编程 I/O 单元支持的电气标准因工艺而异,不同芯片商、不同器件的 FPGA 支持的 I/O 标准不同,一般来说,常见的电气标准有 LVTTL、LVCMOS、SSTL、HSTL、LVDS、LVPECL 和 PCI 等。值得一提的是,随着 ASIC 工艺的飞速发展,目前可编程 I/O 支持的最高频率越来越高,一些高端 FPGA 通过 DDR 寄存器技术,甚至可以支持高达 2Gbit/s 的数据数率。Zynq 上的通用输入/输出功能(IOB)合起来被称作 SelectIO 资源,它们一般被组织成 50 个 IOB 一组。每个 IOB 有一个焊盘,是与外部世界连接来做单个信号的输入或输出的。每个 IOB 还包含一个 IOSERDES 和 IODELAY 资源,可以做并行和串行数据的可编程转换。

基本可编程逻辑单元

基本可编程逻辑单元是可编程逻辑的主体,可以根据设计灵活地改变其内部连接与配置,完成不同的逻辑功能。FPGA 一般是基于 SRAM 工艺的,其基本可编程逻辑单元几乎都是由查找表(LUT,Look Up Table)和寄存器(Register)组成。Xilinx 7 系列 FPGA 内部查找表为 4 输入,查找表一般完成纯组合逻辑功能。FPGA 内部寄存器结构相当灵活,可以配置为带同步/异步复位或置位,时钟使能的触发器,也可以配置成锁存器,FPGA 依赖寄存器完成同步时序逻辑设计。

一般来说,比较经典的基本可编程逻辑单元的配置是一个寄存器加一个查找表,但是不同厂商的寄存器与查找表也有一定的差异,而且寄存器与查找表的组合模式也不同。当然这些可编程逻辑单元的配置结构随着器件的不断发展也在不断更新,最新的一些可编程逻辑器件常常根据需求设计新的 LUT 和寄存器的配置比率,并优化其内部的连接构造。Xilinx 7 系列 FPGA 中的可编程逻辑单元叫 CLB(Configurable Logic Block,可配置逻辑块),每个CLB 里包含两个逻辑片(Slice)。每个 Slice 由 4 个查找表、8 个触发器和其他一些逻辑所组成的。CLB示意图如下所示:

CLB 是逻辑单元的最小组成部分,在 PL 中排列为一个二维阵列,通过可编程互联连接到其他类似的资源。每个 CLB 里包含两个逻辑片,并且紧邻一个开关矩阵,如下图所示:

嵌入式块 RAM

目前大多数 FPGA 都有内嵌的块 RAM(Block RAM),FPGA 内部嵌入可编程 RAM 模块,大大地拓展了 FPGA 的应用范围和使用灵活性。

不同器件商或不同器件族的内嵌块 RAM 的结构不同,Zynq-7000 里的块 RAM 和 Xilinx 7 列 FPGA 里的 BRAM 是等同的,它们可以实现 RAM、ROM 和先入先出(First In First Out,FIFO)缓冲器。每个块RAM 可以存储最多 36KB 的信息,并且可以被配置为一个 36KB 的 RAM 或两个独立的 18KB RAM。默认的字宽是 18 位,这样的配置下每个 RAM 含有 2048 个存储单元。RAM 还可以被“重塑”来包含更多更小的单元(比如 4096 x9 位或 8192x4 位),或是另外做成更少更长的单元(如 1024 x36 位或 512x72 位)。把两个或多个块 RAM 组合起来可以形成更大的存储容量。PL 中的块 RAM 示意图如下所示:

需要补充的一点是,除了块 RAM,还可以灵活地将 LUT 配置成 RAM、ROM、FIFO 等存储结构,这种技术被称为分布式 RAM。根据设计需求,块 RAM 的数量和配置方式也是器件选型的一个重要标准。

丰富的布线资源

布线资源连通 FPGA 内部的所有单元,而连线的长度和工艺决定着信号在连线上的驱动能力和传输速度。FPGA 芯片内部有着丰富的布线资源,这些布线资源根据工艺、长度、宽度和分布位置的不同而划分为4 类不同的类别:

第一类是全局布线资源,用于芯片内部全局时钟和全局复位/置位的布线;

第二类是长线资源,用以完成芯片 Bank 间的高速信号和第二全局时钟信号的布线;

第三类是短线资源,用于完成基本逻辑单元之间的逻辑互连和布线;

第四类是分布式的布线资源,用于专有时钟、复位等控制信号线。

在实际中设计者不需要直接选择布线资源,布局布线器可自动地根据输入逻辑网表的拓扑结构和约束条件选择布线资源来连通各个模块单元。从本质上讲,布线资源的使用方法和设计的结果有直接的关系。

IP 硬核单元

IP 硬核一般指的是通用程度较高的嵌入式功能模块,比如 PLL(Phase Locked Loop)、DLL(Delay Locked Loop)、DSP、CPU 等。随着 FPGA 的发展,这些模块被越来越多地嵌入到 FPGA 的内部,以满足不同场合的需求。

Xilinx 7 系列器件中的时钟资源包含了时钟管理单元 CMT(全称 Clock Management Tile,即时钟管理单元),每个 CMT 由一个 MMCM(全称 Mixed-Mode Clock Manager,即混合模式时钟管理)和一个 PLL(全称 Phase Locked Loop,即锁相环)组成,像 xc7z020 芯片内部有 4 个 CMT,xc7z010 芯片内部有 2 个 CMT,为设备提供强大的系统时钟管理以及高速 I/O 通信的能力。

目前大多数 FPGA 厂商都在 FPGA 内部集成了 PLL 或者 CMT 硬件电路,用以完成时钟的高精度、低抖动的倍频、分频、占空比调整、相移等功能。目前,高端 FPGA 产品集成的 PLL 和 CMT 资源越来越丰富,功能越来越复杂,精度越来越高。

内嵌专用硬核

这里的内嵌专用硬核与前面的底层嵌入单元是有区分的,这里讲的内嵌专用硬核主要指那些通用性相对较弱,不是所有 FPGA 器件都包含硬核。在 ZYNQ 的 PL 端有一个数模混合模块——XADC,它就是一个硬核。XADC 包含两个模数转换器(ADC),一个模拟多路复用器,片上温度和片上电压传感器等。我们可以利用这个模块监测芯片温度和供电电压,也可以用来测量外部的模拟电压信号。

ZYNQ PL 架构

在介绍完 FPGA 的基本结构之后,我们给出 ZYNQ PL 架构的示意图,如下所示:

ZYNQ PS 简介

Zynq 实际上是一个以处理器为核心的系统,PL 部分可以看作是它的一个外设。我们可以通过使用AXI(Advanced eXtensible Interface)接口的方式调用 IP 核,系统通过 AXI 接口将 IP 与处理器连接,也就是实现 PL 与 PS 互联。Zynq-7000 系列的亮点在于它包含了完整的 ARM 处理器系统,且处理器系统中集成了内存控制器和大量的外设,使 Cortex-A9 处理器可以完全独立于可编程逻辑单元。实际上在 Zynq 中,PL 和 PS 两部分的供电电路是独立的,这样 PS 或 PL 部分不被使用的话就可以被断电。

名词解释:

SOPC(System-on-Progammable-Chip,可编程片上系统)

MicroBlaze 处理器(软处理器,由逻辑片构成。FPGA可编程逻辑资源搭建)

Zynq 中集成的是一颗“硬核”处理器,它是硅芯片上专用且经过优化的硬件电路,硬核处理器的优势是它可以获得相对较高的性能。Zynq 中的硬件处理器和软核处理器并不冲突,我们完全可以使用PL 的逻辑资源搭建一个 Microblaze 软核处理器,来和 ARM 硬核处理器协同工作。

Zynq 处理器系统里并非只有 ARM 处理器,还有一组相关的处理资源, 共同形成了一个应用处理器单元 (Application Processing Unit,APU),另外还有扩展外设接口、cache 存储器、存储器接口、互联接口和时钟发生电路等。

Zynq 处理器系统(PS)示意图如下所示,其中红色高亮区域为 APU:

APU(应用处理器单元)

如上图所示是 APU 的简化框图。APU 主要是由两个 ARM 处理器核组成的,每个都关联了一些可计算的单元:一个 NEONTM 媒体处理引擎(Media Processing Engine,MPE)和浮点单元(Floating PointUnit,FPU);一个内存管理单元(Memory Management Unit,MMU);和一个一级 cache 存储器(分为指令和数据两个部分)。APU 里还有一个二级 cache 存储器,再往下还有片上存储器(On Chip Memory,OCM),这些是两个 ARM 处理器共用的。最后,由一个一致性控制单元(Snoop Control Unit,SCU)在ARM 核和二级 cache 及 OCM 存储器之间形成了桥连接。SCU 还部分负责与 PL 对接,图中没有标出这个接口。

外部接口

Zynq PS 实现了众多接口,既有 PS 和 PL 之间的,也有 PS 和外部部件之间的。 PS 和外部接口之间的通信主要是通过复用的输入/输出(Multiplexed Input/Output,MIO)实现的,它提供了可以灵活配置的 54 个引脚,这表明外部设备和引脚之间的映射是可以按需定义的。当需要扩展超过 54 个引脚的时候可以通过扩展 MIO(Extended MIO,EMIO)来实现,EMIO 并不是 PS 和外部连接之间的直接通路,而是通过共用了 PL 的 I/O 资源来实现的。

PS 中可用的 I/O 包括标准通信接口和通用输入/输出(General Purpose Input/Output,GPIO),GPIO 可以用做各种用途,包括简单的按钮、开关和 LED。如下图所示:

通信接口

Zynq 芯片里含有嵌入在逻辑部分里的 GTX 收发器和高速通信接口块。是专用的硅片块(“硬 IP”块),能支持一些标准接口,包括 PCI Express、串行 RapidIO、SCSI 和 SATA。要实现 PCI Express,除了GTX 收发器本身之外,还需要另一个硬 IP 包(一个 PCI Express 块,也存在于相应的 Zynq 芯片)和块RAM。

GTX 收发器是以“四元组”的形式实现的,就是说一组有四个独立的通道,每个通道包括一个那个通道专用的锁相环(Phase Locked Loop,PLL)、一个发送器和一个接收器。根据具体的 Zynq 芯片和封装的不同,最高可以支持 12.5Gbps 的速率。这个接口可以用来实现与网络设备、硬盘和其他 FPGA 或 Zynq 芯片这样的独立的外部芯片的连接。要使用这些 GTX 块,需要通过一个 Wizard 工具的支持,它能自动创建所需的接口的核。从用户的角度看,就是把一个块引入系统,选择所需的协议和硬件选项,然后设置参数就可以了。

存储器接口

Zynq-7000 APSoC 上的存储器接口单元包括一个动态存储器控制器和几个静态存储器接口模块。动态存储器控制器可以用于 DDR3、DDR3L、DDR2 或 LPDDR2。静态存储器控制器支持一个 NAND 闪存接口、一个 Quad-SPI 闪存接口、一个并行数据总线和并行 NOR 闪存接口。

片上存储器

片上存储器包括 256kB 的 RAM(OCM)和 128kB 的 ROM(BootROM),OCM 支持两个 64 位 AXI从机接口端口,一个端口专用于通过 APU SCU 的 CPU/ACP 访问,而另一个是由 PS 和 PL 内其他所有的总线主机所共享的。BootROM 是 ZYNQ 芯片上的一块非易失性存储器,它包含了 Zynq 所支持的配置器件的驱动。BootROM 对于用户是不可见的,专门保留且只用于引导的过程。

AXI 接口

Zynq 将高性能 ARM Cotex-A 系列处理器与高性能 FPGA 在单芯片内紧密结合,为设计带来了如减小体积和功耗、降低设计风险、增加设计灵活性等诸多优点。在将不同工艺特征的处理器与 FPGA 融合在一个芯片上之后,片内处理器与 FPGA 之间的互联通路就成了 Zynq 芯片设计的重中之重。如果 Cotex-A9 与FPGA 之间的数据交互成为瓶颈,那么处理器与 FPGA 结合的性能优势就不能发挥出来。

Xilinx 从 Spartan-6 和 Virtex-6 系列开始使用 AXI 协议来连接 IP 核,在 7 系列和 Zynq-7000 AP SoC 器件中,Xilinx 在 IP 核中继续使用 AXI 协议。AXI 的英文全称是 Advanced eXtensible Interface,即高级可扩展接口,它是 ARM 公司所提出的 AMBA(Advanced Microcontroller Bus Architecture)协议的一部分。AXI 协议是一种高性能、高带宽、低延迟的片内总线,具有如下特点:

1、总线的地址/控制和数据通道是分离的;

2、支持不对齐的数据传输;

3、支持突发传输,突发传输过程中只需要首地址;

4、具有分离的读/写数据通道;

5、支持显著传输访问和乱序访问;

6、更加容易进行时序收敛。

在数字电路中只能传输二进制数 0 和 1,因此可能需要一组信号才能高效地传输信息,这一组信号就组成了接口。AXI4 协议支持以下三种类型的接口:

1、AXI4:高性能存储映射接口。

2、AXI4-Lite:简化版的 AXI4 接口,用于较少数据量的存储映射通信。

3、AXI4-Stream:用于高速数据流传输,非存储映射接口。

存储映射(Meamory Map)

如果一个协议是存储映射的,那么主机所发出的会话(无论读或写)就会标明一个地址。这个地址对应于系统存储空间中的一个地址,表 明是针对该存储空间的读写操作。

AXI4 协议支持突发传输,主要用于处理器访问存储器等需要指定地址的高速数据传输场景。AXI-Lite为外设提供单个数据传输,主要用于访问一些低速外设中的寄存器。而 AXI-Stream 接口则像 FIFO 一样,数据传输时不需要地址,在主从设备之间直接连续读写数据,主要用于如视频、高速 AD、PCIe、DMA 接口等需要高速数据传输的场合。

在 PS 和 PL 之间的主要连接是通过一组 9 个 AXI 接口,每个接口有多个通道组成。这些形成了 PS 内部的互联以及与 PL 的连接,如下图所示:

我们将上图中的接口总结如下所示:

接口命名的第一个字母表示的是 PS 的角色,也就是说,第一个字母“M”表示 PS 是主机,而第一个字母“S”表示 PS 是从机。

PS 和 PL 之间的 9 个 AXI 接口可以分成三种类型:

1、通用 AXI(General Purpose AXI):一条 32 位数据总线,适合 PL 和 PS 之间的中低速通信。接口是不带缓冲的透传。总共有四个通用接口:两个 PS 做主机,另两个 PL 做主机。

2、加速器一致性端口(Accelerator Coherency Port):在 PL 和 APU 内的 SCU 之间的单个异步连接,总线宽度为 64 位。这个端口用来实现 APU cache 和 PL 的单元之间的一致性,PL 是做主机的。

3、高性能端口(High Performance Ports):四个高性能 AXI 接口,带有 FIFO 缓冲来提供“批量”读写操作,并支持 PL 和 PS 中的存储器单元的高速率通信。数据宽度是 32 或 64 位,在四个接口中 PL 都是做主机的。

ZYNQ 的优势

ZYNQ 应用的领域

汽车

现在的汽车里都有大量的电子装置,从引擎管理到车窗、后视镜和灯光等控制功能,以及导航和咨询娱乐系统都有。 先进驾驶辅助系统(Advanced DriverAssistance Systems,ADAS)指的是汽车里专门为了驾驶员的安全和便捷所提供的综合系统,包括:偏离车道警告系统、道路标识识别(如进入限速较低的路段时提醒驾驶员)、泊车辅助、抬头显示,甚至能监视驾驶员的清醒程度。FPGA 以及现在的 Zynq 芯片,可以用来实现这些汽车系统,而且 Zynq 的处理能力使它特别适合做这样的系统。在一个对成本和功耗敏感并且还往往对物理空间有要求的市场中,Zynq 能够降低元件数量的特性是一种优势。

通信

Zynq 在通信领域的应用可以说是无所不能,得益于 FPGA 内部结构的特点,很大程度上取决于用户的设计能力。它可以很容易地实现分布式的算法结构,这一点对于实现无线通信中的高速数字信号处理十分有利。这个领域很多样化,包括地面和卫星传输的收发信机、移动通信骨干网络、有线网络设备、雷达、声纳、全球定位系统(Global Positioning System,GPS)和许多其他的通信系统。图 3.3.2 是其中的一小部分例 子。在无线通信中,无线电频谱日益紧张,而与此同时大量无线系统和标准不断在扩张。柔性无线电(flexible radio)的概念有可能更好地利用无线电频谱,并能把无线电设备稳固在一个能动态改变操作的单个设备上,Zynq 就是一个理想的柔性无线电平台。

机器人、控制和仪器

从制造和加工到高能物理实验的工业和科学处理,都需要精密的控制和仪器。FPGA 和 Zynq 芯片是非常合适的平台,因为借助于 PL 的能力,它们能快速、实时地同时处理多个传感器的输入并操作多个动作器的输出。Zynq 在系统集成和操作的灵活性上还有更大的潜力。比如,可以监 视一个控制环的性能,就可以通过它的专用软件改变配置控制信号。如果需要,PS 还可以支持实时操作系统及(或)GUI(图形用户界面)。 电机控制算法在工业的很多领域都是极为重要的。比如,对美国制造业工厂的调查发现,工业中消耗的大约 50%的电力是用于“机器驱动”,也就是电机、泵和风扇。由于在 PS 和 PL 之间的高带宽连接,能够形成紧密的反馈回路,并能利用 AMS 包所提供的 DAC 采样能力,使得 Zynq 很适合做电机控制。

图像处理

图像和视频处理包含很多不同的应用,包括家用和专业用的摄像头、视频压缩和存储系统、广播设备、显示技术、工业过程监视、安保和监控、以及许多其他应用。Zynq 的处理能力对于“嵌入式视频”应用是特别有价值的,嵌入式视频应用既需要对大量像素点数据的确定处理,也需要从图像中提取数据的软件算法(正好相应地适合 PL 和 PS)。

医疗应用 等等

什么是ZYNQ?ZYNQ简介相关推荐

  1. (1)zynq FPGA简介

    1.1 zynq FPGA简介 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)4G/5G简介: 5)结束语. 1.1.2 本节引言 "不积跬步,无以至千里:不 ...

  2. 嵌入式开发之赛灵思 xilinx Zynq芯片简介---Zynq-7000 EPP (XC7Z010 and XC7Z020)

    (1)企业简介 作为DSP 和视频应用领域的头号 FPGA 供应商,赛灵思致力于通过其目标设计平台提供业内领先的 DSP 开发工具.方法.IP 和技术支持.赛灵思面向 DSP 的目标设计平台将这些元素 ...

  3. 嵌入式开发之zynq——zynq开发环境搭建

    http://blog.csdn.net/shushm/article/details/51728690 http://www.cnblogs.com/fpga/p/4593602.html http ...

  4. 【正点原子FPGA连载】第一章 ZYNQ简介 -摘自【正点原子】领航者ZYNQ之FPGA开发指南_V2.0

    1)实验平台:正点原子领航者ZYNQ开发板 2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761 3)全套实验源码+手册+视频下 ...

  5. 基于ZYNQ FPGA实现数据采集与传输系统设计

    1 ZYNQ FPGA简介 传统的嵌入式集成电路应用级芯片常见的 DSP,ARM,PowerPC,MIPS, FPGA 等,FPGA 有灵活性好,资源丰富,可反复编程(Programmable)速度快 ...

  6. (2)ZYNQ FPGA加载比特流(FPGA不积跬步101)

    0 引言 一个合格的技术员,专业知识不用说,懂的越多越好.为自己加油!!! 1 ZYNQ FPGA简介 传统的嵌入式集成电路应用级芯片常见的 DSP,ARM,PowerPC,MIPS, FPGA 等, ...

  7. 基于ZYNQ FPGA实现8路ADC数据采集存储(AD7606)

    基于ZYNQ FPGA实现8路ADC数据采集存储(AD7606) 1 ZYNQ FPGA简介 赛灵思公司在ZYNQ系列上成功将ARM的Cortex-A9处理器片上系统与A7系列的可编程逻辑集成在一起. ...

  8. ZYNQ基本使用(2) GPIO的使用

    目录 GPIO使用 Zynq GPIO简介 硬件系统 添加MIO和EMIO 添加AXI GPIO 管脚约束 软件系统 MIO和EMIO AXI_GPIO 备注 参考 GPIO使用 Zynq GPIO简 ...

  9. 万变不离其宗之ZYNQ串口介绍

    导语 串口是我们在设计程序中最常用的接口,串口是硬件系统运行状态的忠实打印者,可以完成数据的传输.log输出等功能. 1.串口介绍 通信的两种方式:串行通信.并行通信 串行通信方式分为:同步通信.异步 ...

最新文章

  1. 阻塞队列BlockingQueue 学习
  2. 【转】OpenCV里IplImage数据结构极易出错的问题,IplImage和单字节char*的相互转换
  3. CRM Transaction处理中的权限控制
  4. 【转帖】windows命令行中java和javac、javap使用详解(java编译命令)
  5. CSV 导入mysql 数据库
  6. flex与JavaScript的数据交互
  7. LightOj 1027 A Dangerous Maze
  8. python快速求EXCEL数据权重
  9. JavaScript数组快速入门
  10. keepalived详解(二)——keepalived安装与配置文件
  11. vivo X7(全网通)线刷救砖教程
  12. 点分十进制表示的字符串转换为 unsigned int 整型数值
  13. 我的周刊(第028期)
  14. 玩客云添加到我的计算机,玩客云怎么备份?将电脑文件备份到玩客云教程
  15. Pillow透视变换进行图片纠偏
  16. Day1_Python基础
  17. Android显示Gif图片
  18. 2023年华数杯国际赛数学建模
  19. linux环境下配置apache ,mysql,php,超简单
  20. FDC2214调试笔记(1)——为什么FDC2214输出的会是0x00000000或者0xffffffff?

热门文章

  1. 求广义表深度(C语言)
  2. 7-4 深入虎穴 (7分)
  3. 前端工程师为什么要学JavaScript?
  4. 宝洁张家口为2022雪季开板,王濛、关晓彤成为宝洁漂亮助力大使发起人
  5. 解决SpringBoot集成Thymeleaf 出现java.lang.ClassNotFoundException: org.unbescape.html.HtmlEscape异常问题
  6. mac安装brew(国内地址,可成功安装!)
  7. 人工智能这几个重点领域 10 个国际顶会的论文平均录取率 为 22.1%
  8. HDLbits 学习笔记: Problem 109 - Left/right arithmetic shift by 1 or 8
  9. 小程序----全局配置
  10. 计算机配置时间怎么查,电脑怎么查看系统安装日期 查询电脑系统安装日期及系统运行时间的方法...