笔试题型

总共八道题,其中前四道为5分的画图题和简答题,后四道为20分的设计题和简答题,题目较为基础,难度不大。
(1)跨时钟域的问题
(2)竞争与冒险
(3)数字IC的素质与技能
(4)消除毛刺电路
(5)CMOS与非门
(6)SPI协议
(7)摩尔和米粒

跨时钟域的问题

这里写一下这么回答跨时钟域问题,解析有很多,总结一下。
跨时钟域处理分为单比特信号和多比特信号,但比特信号中又分为从慢时钟域到快时钟域和快时钟域到慢时钟域这两种情况。
单比特信号
(1)从慢时钟域到快时钟域
源时钟是慢时钟,目的时钟是快始终,这种情况下,目的时钟域的寄存器一定可以采样到源时钟的脉冲信号,只是可能出现亚稳态的情况,那么就采用常见的级联两级寄存器(打两拍)的方式“消除”亚稳态。
(2)从快时钟域到慢时钟域
源时钟是快时钟,目的时钟是慢时钟,这种情况下,目的时钟域存在检测不到源时钟域的情况,那么需要把源时钟做一个展宽,再打两拍,具体如何展宽,这里不做描述。
多比特信号
(1)异步FIFO+格雷码
(2)MUX同步器
(3)握手协议
多比特最常用就是异步FIFO,要清楚的知道异步FIFO的构造和为什么采用格雷码而不采用二进制。其实原理是由于二进制会导致多个bit的跳变,而格雷码由于它本身的属性,所以相邻之间只有一个bit的跳变,减少了亚稳态发生的概率。

本人实力非常有限,如有错误私信或评论。

南芯半导体数字IC笔试题相关推荐

  1. 数字IC笔试题,大疆校招16题(仅供参考)

    \\\插播一条: 自己在今年整理一套单片机单片机相关论文800余篇 论文制作思维导图 原理图+源代码+开题报告+正文+外文资料 想要的同学私信找我. 数字IC笔试题,大疆校招16题(仅供参考) 1.异 ...

  2. 数字IC笔试题---千题解,量大管饱,图文并茂

    前言 出笔试题汇总,是为了总结秋招可能遇到的问题,做题不是目的,在做题的过程中发现自己的漏洞,巩固基础才是目的. 所有题目结果和解释由笔者给出,答案主观性较强,若有错误欢迎评论区指出,资料整理来自于& ...

  3. 艾为数字ic面试题_数字IC笔试题(2017年)

    转眼之间又到了一年一度的校招时间,回想去年这个时候,真的是经历了长达数月的兵荒马乱.现在也入职几个月了,想给去年的自己找工作做个总结,也供今年校招的童鞋做一些参考. 废话不多说,结合多家的笔试题,变来 ...

  4. 禾赛科技2021数字IC笔试题

    笔试题A 1道单选,6道问答 单选1:八进制数657的16进制表示是什么? (1AF) 问答: 使用1个或非门搭建非门,有几种方法? 使用二输入MUX搭建与非门 化简逻辑表达式out=A+B((AB+ ...

  5. 数字IC笔试题(2)——降低动态IR DROP

    (华为海思芯片多选)降低动态IR DROP的方法包括()(注意:题目中的IP DROP实际应该是IR DROP) A. 降低工作频率: B. 增加接入的电源地IO数量: C. 增加LVT cell的比 ...

  6. 商汤 / 澜起科技数字IC笔试题

    文章目录 商汤 澜起 商汤 1.芯片中降低功耗的方法有哪些,各有什么优缺点?   1.系统级的低功耗设计方法     (1)多电压设计:压与功耗有着密切的联系.因此功耗的降低可以考虑使用低一点的电压. ...

  7. 华为2022数字芯片笔试题

    单选 1.ASIC开发流程中,如下环节的先后顺序是? A. RTL-> Synthesis->P&R B. Synthesis->P&R->RTL C. Syn ...

  8. 数字IC笔面基础之触发器——DFF、TFF、JKFF(附Verilog实现)

    数字IC笔面基础之触发器 写在前面的话 关键点: D触发器 基本正边沿触发D触发器 异步复位D触发器 带清零和置一的D触发器 T触发器 JK触发器 总结 写在前面的话 触发器是数字IC最基础的知识点, ...

  9. 艾为数字ic面试题_国外的数字IC面试题(非常详细,有答案)

    原标题:国外的数字IC面试题(非常详细,有答案) 这是由EETOP网友lswujun上传的国外数字IC面试题. 内容相对比较基础,有答案. 内容包括: Questions and Answers ar ...

最新文章

  1. 美团分布式服务治理框架OCTO之一:服务治理
  2. Centos7 下nginx nginx-1.13.4 安装
  3. 2019年Java程序员就业分析
  4. 一步一步学lucene——(第四步:搜索篇)
  5. Apache Subversion command line tools下载地址 svn命令行客户端
  6. mdp文件-Chapter4-MD.mdp
  7. python 判断div 之间的内容是否为空_python实现单向链表数据结构及其基本方法
  8. C语言试题六十三之请编写函数fun:将s所指字符串中ascii值为偶数的字符删除,串中剩余字符形成一个新串放在t所指的数组中。
  9. 按钮自动居中布局_CSS布局技巧
  10. PCA 主成分分析Principal components analysis
  11. Tensorflow(r1.4)API--tf.nn.dropout
  12. jaxen-1.1-beta-6.jar下载,Dom4j的xpath的使用
  13. 大妈钱太难赚,60余广场舞APP全军覆没
  14. 深度学习Tir-Hi3559A使用unbuntu系统的烧写步骤
  15. java cmd 隐藏窗口_运行bat时隐藏cmd窗口
  16. Sexagenary Cycle(干支纪年)
  17. Mathematica描绘滚球某个点的运动轨迹(摆线)
  18. 汉字书写亟待规范——《中国汉字听写大会》第七场复赛观后感
  19. Oracle EBS创建LPN
  20. Callback--EarlyStopping

热门文章

  1. 十分钟了解“微服务”
  2. 军校空军士官计算机专业,军校分不够,没关系!18所士官学校报考名单来袭!...
  3. 宽带,梦想走向现实? (转)
  4. Rust Rocks
  5. 【Javaweb——tomcat常见错误】java.lang.NullPointerException
  6. IC笔试牛客网verilog刷题总结三
  7. FPGA学习: Verilog刷题记录(10)
  8. TypeORM vs Prisma
  9. 【百度地图api】前端 百度地图添加地理围栏
  10. 【ES从入门到实战】五、全文检索-ElasticSearch-入门-putpost新增数据