Vivado & ISE

文章目录

  • Vivado & ISE
    • 一、特性比较
      • 1. ISE与Vivado设计套件之间的比较
      • 2. 约束文件的类型
      • 3. 时代的必然
      • 4. 开发流程对比
    • 二、ISE
    • 三、Vivado
    • 末、参考文献

一、特性比较

ISE是Vivado和它的前一代设计套件,与ISE做一个组件功能上的平行比较和总结可以帮助新用户更快地熟悉两者间地差异与共同点。

1. ISE与Vivado设计套件之间的比较

ISE Vivado 注释
ISE Project Navigator Vivado IDE 这些工具都是用于 FPGA 和 Zynq 的硬件设计。Vivado IDE 在设计流程上替代了 ISEProject Navigator 和 PlanAhead,并且提升了功能性和库支持。PlanAhead 和 ISE具有详细的核心功能,但是 PlanAhead 还具有管脚和设备规划以及虚拟化的功能。
PlanAhead Vivado IDE 同上
Xilinx SynthesisTechnology(XST) Vivado Synthesis Vivado Synthesis 是一个加强版的综合工具,针对 7 系列及后续设备。
ISim Vivado Simulator Vivado Simulator 看起开和 ISim 差不多,不过却使用了新的仿真引擎以提升性能。
Xpower Analyzer Vivado Power Analyzer 为了评估设计运行的目标板上的功耗。
System Generator System Generator 为基于块的 DSP 设计。没有标志性的变化,不过 System Generator 系统现在可以由 Vivado IP 核生成。
AutoESL Vivado HLS 从 C,C++,System-C 描述中开发 IP 的工具。Vivado HLS 是 AutoESL 的一个重制增强版。
Xilinx Platform Studio (XPS) IP Integrator XPS 用于使用列表、选项之类以架构硬件系统。IP Integrator 提供了一个增强的图形界面环境来执行相同的任务。
Software Development Kit(SDK) Software Development Kit(SDK) 为软件开发设计。这个组件没有功能性的变化。

Zynq:ZYNQ系列,是赛灵思公司(Xilinx)推出的行业第一个可扩展处理平台,旨在为视频监视、汽车驾驶员辅助以及工厂自动化等高端嵌入式应用提供所需的处理与计算性能水平。Zynq-7000可扩展处理平台是采用赛灵思新一代FPGA(Artix-7与Kintex-7FPGA)所采用的同一28nm可编程技术的最新产品系列。不过,与采用嵌入式处理器的FPGA不同,Zynq-7000产品系列的处理系统不仅能在开机时启动,而且还可根据需要配置可编程逻辑。

ISE Vivado 注释
ChipScope Vivado Logic Analyzer 为探查和检测物理设备的实时信号行为。Vivado Logic Analyzer 着重于升级后的硬核。
iMPACT Vivado Device Programmer 一个工具用于检测硬件链条以及下载烧写文件以配置已识别的设备。

其中一部分软件工具的变化是值得注意的,它会导致设计者的工作方法的改变。比如说,ISE的Xilinx Platform Studio(XPS)和Vivado的IP Intergrator都是用于嵌入式硬件系统的环境,但是它们的用户界面迥然不同。XPS使用一系列的下拉列表和基于文本的配置选项,然而IP Integrator提供了更加图形化的接口。其他的变化则更加细微,比如说,Vivado中的综合和布线的引擎相比ISE来说有很大的改进,但是这对于用户却是透明的。来获取关于原始的ISE设计套件以及Xilinx在Vivado中对这些开发工具做改进的理由的讨论。

2. 约束文件的类型

ISE和Vivado之间的另一个重要的区别就是约束文件类型。在ISE的流程中使用的是.ucf文件(User Constraints File,用户约束文件的字母缩写),而Vivado使用的是.xdc(Xilinx Design Constraints,即Xilinx设计约束的缩写)。新的文件类型提供了与工业级集成电路设计约束的兼容性,并且增强了一些特定的Xilinx约束文件,XDC文件使用的约束语法和UCF完全不同,因此ISE的用户需要熟悉这样的新样式。

UCF转XDC的方法可以自行查阅。

3. 时代的必然

在《Vivado Design Suite User Guide:Getting Started(UG910)》中提到,推出Vivado是为了提高设计者的效率,他能显著增加Xilinx28nm工艺的可编程逻辑期间的设计、综合于实现效率。

即随着FPGA进入28nm时代,ISE工具有些“不合时宜”了,硬件提升了,软件也需要提升:Vivado 支持 7 系列和 Zynq-7000 以及之后的设备,但是不支持更老的设备(Spartan, Virtex-6 以及之前的 FPGA) 。同样 ,ISE 也不再支持 7 系列之后的设备。

4. 开发流程对比

① 建立工程:

Vivado界面相对清爽,流程清晰。而ISE相较而言集成度太低,完成同样的事情需要在更多的组件中调来调去。

② 添加代码:

ADD Source功能本身很简单,自然无明显区别。

③ 添加IP核:

ISE没有继承core gen,导致即便从ISE打开core gen,也需要重新建立core gen工程并再次选取于原工程一致的元器件,甚至生成的IP核之后还需要手动添加到ISE工程内。

④ 初步综合:

Vivado会实时检查代码是否存在语法错误,而ISE需要综合的时候才能发现。

但这一点对于喜欢用VScode这种第三方编辑器的同学来说并不重要。

⑤ 添加约束:

由于ISE添加MMCM时没有加入自动生成的约束,需要重新添加时钟约束。而Vivado自动将IP核添加到工程内,是包含时钟约束的。为了更好的ISE和Vivado的综合性能,管脚位置与电平约束完全一致。

PS:若时钟和管脚约束直接敲命令,两者除了语法,没有明显区别。

MMCM:Xilinx中时钟资源:模式时钟管理器

⑥ 综合实现:

综合到生成的效率对比,Vivado:ISE=3:1

二、ISE

Xilinx ISE Design Suite是利用新技术来降低总设计成本的电子设计套件软件,并且实现了比任何其它 PLD 解决方案更高的性能。当下已更新至14.7版本。

官网14.7分为Win10兼容版和普通版,兼容版需要一个VMware或者VirtualBox来辅助安装和使用,也就是说实际上是在Win10上开辟了一个虚拟机来运行ISE,非常地阴间。实际上普通版本的14.7也能在Win10运行。

借助 Xilinx ISE Design Suite 的突破性技术提高系统级设计效率并加快产品投产。 ISE Design Suite 采用各种方法来实现团队设计、功耗优化以及简化 IP 集成,从而分发挥 Xilinx 目标设计平台在配置逻辑、嵌入式和 DSP 设计方面的潜力。 所有这一切均可通过紧密集成的设计流程来实现。

三、Vivado

Xilinx公司于2012年推出的新一代集成设计环境。包括高度集成的设计环境和新一代从系统到IC级的工具,这些均建立在共享的可扩展数据模型和通用调试环境基础上。这也是一个基于AMBA AXI4 互联规范、IP-XACT IP封装元数据、工具命令语言(TCL)、Synopsys 系统约束(SDC) 以及其它有助于根据客户需求量身定制设计流程并符合业界标准的开放式环境。赛灵思构建的Vivado 工具把各类可编程技术结合在一起,能够扩展多达1 亿个等效ASIC 门的设计。

专注于集成的组件——为了解决集成的瓶颈问题,Vivado 设计套件采用了用于快速综合和验证C 语言算法IP 的ESL 设计,实现重用的标准算法和RTL IP 封装技术,标准IP 封装和各类系统构建模块的系统集成,模块和系统验证的仿真速度提高了3 倍,与此同时,硬件协仿真性能提升了100倍。

专注于实现的组件——为了解决实现的瓶颈,Vivado工具采用层次化器件编辑器和布局规划器、速度提升了3 至15 倍,且为SystemVerilog 提供了业界最好支持的逻辑综合工具、速度提升4 倍且确定性更高的布局布线引擎,以及通过分析技术可最小化时序、线长、路由拥堵等多个变量的“成本”函数。此外,增量式流程能让工程变更通知单(ECO) 的任何修改只需对设计的一小部分进行重新实现就能快速处理,同时确保性能不受影响。最后,Vivado 工具通过利用最新共享的可扩展数据模型,能够估算设计流程各个阶段的功耗、时序和占用面积,从而达到预先分析,进而优化自动化时钟门等集成功能。

末、参考文献

碎碎思:从多图对比看Vivado与ISE开发流程的差异

[quantLearner:FPGA概念理解之:Xilinx的Vivado与ISE区别](

XilinxFPGA: Vivado ISE相关推荐

  1. vivado ise 关联设置

    C:/Users/Admin/AppData/Local/Programs/Microsoft VS Code/Code.exe -g [file name]:[line number] // vs ...

  2. vivado 综合与实现

    综合,简单来说就是把RTL代码转换成后FPGA基本单元,综合分好几步,translate,代码转成基本的与或非等器件无关的逻辑电路:map,逻辑电路映射成FPGA基本单元,比如LUT,RAM,进位链和 ...

  3. html抽奖源码_开源FPGA开发板OpenICE 介绍及抽奖

    首先呢,先强调一遍,我做板子不是为了挣钱,因为国内目前的形式比较严峻,只是为了体验一下开源的工具和环境,也为了后人能对FPGA有个新的认识,所以不会触碰到任何人的蛋糕. 本来今天不准备发文了,还是熬夜 ...

  4. 基于FPGA的LED 16×16点阵汉字显示设计

    使用VHDL语言设计 在QuartusII下创建,也可在vivado,ISE下创建 总体设计方案 方案一:本设计所使用的16×16的点阵,EDA实验箱上有其接口电路,列选信号为SEL0,SEL1,SE ...

  5. FPGA实现智能小车竞速

    本设计使用QuartusII , verilog 语言.也可以在vivado,ISE下创建. 智能小车竞速的代码,仿真和代码都有,可以实现小车的寻迹功能等. 下面是工程截图: //智能小车竞速的代码, ...

  6. CAD(计算机辅助设计)

    文章目录 1.CAD(计算机辅助设计) 2.设计输入: 2.1原理图输入 2.2硬件描述语言输入 3.综合: 由输入生成逻辑电路的过程 4.功能仿真: 5.物理设计和时序仿真: 1.CAD(计算机辅助 ...

  7. 开源FPGA开发板-OpenICE 介绍及抽奖

    首先呢,先强调一遍,我做板子不是为了挣钱,因为国内目前的形式比较严峻,只是为了体验一下开源的工具和环境,也为了后人能对FPGA有个新的认识,所以不会触碰到任何人的蛋糕. 本来今天不准备发文了,还是熬夜 ...

  8. Xilinx coe 与 Intel(Altera) mif 文件转化脚本

    两种脚本方法: 1:tcl # X_to_A_mif_conversion.tcl # This script will convert a Xilinx mif file to an Intel P ...

  9. xilinx基础篇Ⅰ(8)ISE14.7下载CPLD

    由于xilinx后续集中开发vivado,ISE更新到14.7就不再更新,所以ISE的局限性在于,不再支持7系列FPGA芯片以及新生代ZYNQ芯片,仅支持6系列或者部分初代7系列以及CPLD; 本节讲 ...

最新文章

  1. Bitcoin Cash 交易签名与构造
  2. php无刷新实现页面更新,使用laravel和ajax实现整个页面无刷新的操作方法
  3. 第2课:关闭被黑客扫描的端口
  4. centos 删除crontab_centos下crontab的使用
  5. BCrypt加密怎么存入数据库_dns污染怎么解决
  6. java deque.pop_Java ArrayDeque pop()方法
  7. php 同步退出,phpcms phpsso不能同步退出怎么办
  8. iOS即时通讯之CocoaAsyncSocket源码解析五
  9. 在C++里while语句的一个妙用
  10. 将 Azure VM 迁移到 Azure 中的托管磁盘
  11. c语言上机作业题及答案,C语言上机题库及答案
  12. 用Python玩转数据(一)
  13. 文言计算机语言,文言文考试也编程,文言语言!!!(附c/c++自译)
  14. vscode远程连接的坑
  15. web前端期末大作业--HTML+CSS+JS实现美女拼图游戏
  16. iOS软件工程师面试 自我介绍
  17. 现代控制理论基础总结
  18. svg动画 html,30个超棒的 SVG 动画展示【上篇】
  19. Scratch快速入门(一)
  20. ps之一寸照片的制作详解(1)

热门文章

  1. 妲己机器人需要什么条件才能使用_回顾腾讯智能机器人妲己功能介绍
  2. 论文投稿指南——中文核心期刊推荐(地球物理学)
  3. wagon-maven-plugin插件使用
  4. 有哪些是当了程序员后才知道的事?
  5. 安装固态硬盘时候遇到的问题
  6. 【CSS 教程系列第 8 篇】什么是 CSS 中的后代选择器
  7. Unicode和UTF8的区别
  8. 02 DIY流星观测站——手把手搭建指南
  9. 城市公交查询算法的简单实现(原创)
  10. nce计算机证书,2004年NCE-4全国计算机等级考试四级上机真题.doc