摘要:你点亮过多少板子的LED灯呢?有很多小伙伴留言说讲一下STM32、FPGA、Liunx他们之间有什么不同。不同点很多,口说无凭,今天就来点亮一下STM32、FPGA和Liunx板子的LED灯,大家大致看一下点灯流程点灯环境以及点灯流程,就能大概的了解一下三者的区别,可以有选择的去学习!

一、使用STM32点亮LED灯

STM32从字面上来理解ST是意法半导体,M是Microelectronics的缩写,32 表示32位,合起来理解,STM32就是指ST公司开发的32位微控制器。在如今的32 位控制器当中,STM32可以说是最璀璨的新星,它受宠若娇,大受工程师和市场的青睐,无芯能出其右。首先使用STM32电亮一个led灯,大家现在回过头来看是不是非常的简单。

STM32初始化流程

1、使能指定GPIO的时钟。

2、初始化GPIO,比如输出功能、上拉、速度等等。

3、STM32有的IO可以作为其它外设引脚,也就是IO复用,如果要将IO作为其它外设引脚使用的话就需要设置 IO 的复用功能。

4、最后设置GPIO输出高电平或者低电平。

1、新建工程

2、代码编写

//LED IO初始化
void LED_Init(void)
{      GPIO_InitTypeDef  GPIO_InitStructure;RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOF, ENABLE);//使能GPIOF时钟//GPIOF9,F10初始化设置GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9 | GPIO_Pin_10;//LED0和LED1对应IO口GPIO_InitStructure.GPIO_Mode = GPIO_Mode_OUT;//普通输出模式GPIO_InitStructure.GPIO_OType = GPIO_OType_PP;//推挽输出GPIO_InitStructure.GPIO_Speed = GPIO_Speed_100MHz;//100MHzGPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_UP;//上拉GPIO_Init(GPIOF, &GPIO_InitStructure);//初始化GPIO GPIO_SetBits(GPIOF,GPIO_Pin_9 | GPIO_Pin_10);//GPIOF9,F10设置高,灯灭
}

3、编译代码

4、配置下载器

烧录代码

二、使用FPGA点亮LED灯

FPGA(Field Programmable Gate Array,简称 FPGA),译文:现场可编程门阵列,一种主要以数字电路为主的集成芯片,于1985年由Xilinx创始人之一 Ross Freeman发明,属于可编程逻辑器件PLD(Programmable Logic Device)的一种。真正意义上的第一颗FPGA芯片XC2064为Xilinx所发明,这个时间差不多比著名的摩尔定律晚20年左右,但是FPGA一经发明,后续的发展速度之快,超出大多数人的想象。

计数器是在FPGA设计中最常用的一种时序逻辑电路,根据计数器的计数值我们可以精确的计算出FPGA内部各种信号之间的时间关系,每个信号何时拉高、何时拉低、拉高多久、拉低多久都可以由计数器实现精确的控制。而让计数器计数的是由外部晶振产生的时钟,所以可以比较精准的控制具体需要计数的时间。计数器一般都是从0开始计数,计数到我们需要的值或者计数满溢出后清零,并可以进行不断的循环。

本例我们让计数器计数1s时间间隔,来实现led灯每隔1s闪烁一次的效果。

LED灯硬件原理图 流水灯实验管脚分配

1、模块框图

模块框图 输入输出信号描述

2、RTL代码的编写

开始RTL代码的编写,RTL代码编写出的模块叫RTL模块(后文中也称功能模块、可综合模块)。之所以叫RTL代码是因为用Verilog HDL在Resistances Transistors Logic(寄存器传输级逻辑)来描述硬件电路,RTL代码能够综合出真实的电路以实现我们设计的功能,区别于不可综合的仿真代码。

`timescale  1ns/1ns
//带标志信号的计数器
module  counter
#(parameter   CNT_MAX = 25'd24_999_999
)
(input   wire    sys_clk     ,   //系统时钟50Mhzinput   wire    sys_rst_n   ,   //全局复位output  reg     led_out         //输出控制led灯
);reg     [24:0]  cnt;       //经计算得需要25位宽的寄存器才够500ms
reg             cnt_flag;//cnt:计数器计数,当计数到CNT_MAX的值时清零
always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1'b0)cnt <= 25'b0;else    if(cnt < CNT_MAX)cnt <= cnt + 1'b1;elsecnt <= 25'b0;
//cnt_flag:计数到最大值产生的标志信号
always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1'b0)cnt_flag <= 1'b0;else    if(cnt == CNT_MAX - 1'b1)cnt_flag <= 1'b1;elsecnt_flag <= 1'b0;
//led_out:输出控制一个LED灯,每当计数满标志信号有效时取反
always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1'b0)led_out <= 1'b0;else    if(cnt_flag == 1'b1)led_out <= ~led_out;
endmodule

3、代码的分析和综合

4、 查看RTL视图

5、Testbench代码的编写

`timescale  1ns/1ns
module  tb_counter();//wire  define
wire            led_out     ;//reg   define
reg             sys_clk     ;
reg             sys_rst_n   ;//初始化系统时钟、全局复位
initial beginsys_clk    = 1'b1;sys_rst_n <= 1'b0;#20sys_rst_n <= 1'b1;
end//sys_clk:模拟系统时钟,每10ns电平翻转一次,周期为20ns,频率为50Mhz
always #10 sys_clk = ~sys_clk;initial begin$timeformat(-9, 0, "ns", 6);$monitor("@time %t: led_out=%b", $time, led_out);
end//------------- counter_inst --------------
counter
#(.CNT_MAX    (25'd24     )
)
counter_inst
(.sys_clk    (sys_clk    ),  //input     sys_clk.sys_rst_n  (sys_rst_n  ),  //input     sys_rst_n.led_out    (led_out    )   //output    led_out
);
endmodule

6、ModelSim仿真波形

7、上板验证

程序下载完毕后,会看到板卡LED0不断闪烁,时间间隔为1秒。

三、使用I.MX6ULL IO点亮LED

嵌入式linux学习者大体可以分为两类,一类是进阶用户,主要指已经有大量mcu工作经验的开发者, 他们希望进阶到更有难度,薪资更高的mpu开发中去。另一类则是学生用户,主要是刚开始接触嵌入式开发的大学生群体。

I.MX应用处理器包括I.MX8、I.MX7、I.MX6及I.MX28系列,被广泛应用于工业控制、汽车电子领域,久经市场考验。而且它的产品线非常丰富,用户熟悉其中一款产品后就能非常方便地迁移至不同的平台。

一般拿到一款全新的芯片,第一个要做的事情的就是驱动其GPIO,控制其GPIO输出高低电平,我们学习I.MX6U也一样的,先来学习一下I.MX6U的GPIO。在学习I.MX6U的GPIO之前,我们可以对比一下STM32的GPIO初始化(如果没有学过 STM32 就不用回顾了),我们以最常见的STM32F103为例来看一下STM32的GPIO初始化,示例代码如下:

void LED_Init(void)
{GPIO_InitTypeDef GPIO_InitStructure;RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);//使能 PB 端口时钟GPIO_InitStructure.GPIO_Pin = GPIO_Pin_5; //PB5 端口配置GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP; //推挽输出GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; //IO 口速度GPIO_Init(GPIOB, &GPIO_InitStructure); //根据设定参数初始化 GPIOB.5GPIO_SetBits(GPIOB,GPIO_Pin_5); //PB.5 输出高
}

STM32初始化流程

1、使能指定GPIO的时钟。

2、初始化 GPIO,比如输出功能、上拉、速度等等。

3、STM32 有的 IO 可以作为其它外设引脚,也就是 IO 复用,如果要将 IO 作为其它外设引脚使用的话就需要设置 IO 的复用功能。

4、最后设置GPIO输出高电平或者低电平。

I.MX6U的GPIO一共有5组:GPIO1、GPIO2、GPIO3、GPIO4和GPIO5,其中GPIO1有32个IO,GPIO2有22个IO,GPIO3有29个IO、GPIO4有29个IO,GPIO5最少,只有12个IO,这样一共有124个GPIO

I.MX6ULL IO初始化流程

1、使能时钟,CCGR0—CCGR6这7个寄存器控制着6ULL所有外设时钟的使能。为了简单,设置CCGR0~CCGR6这7个寄存器全部为0XFFFFFFFF,相当于使能所有外设时钟。

2、IO复用,将寄存器IOMUXC_SW_MUX_CTL_PAD_GPIO1_IO03bit3~0设置为0101=5,这样GPIO1_IO03就复用为GPIO

3、寄存器IOMUXC_SW_PAD_CTL_PAD_GPIO1_IO03是设置GPIO1_IO03的电气属性。包括压摆率、速度、驱动能力、开漏、上下拉等。

4、配置GPIO功能,设置输入输出。设置GPIO1_DR寄存器bit3为1,也就是设置为输出模式。设置GPIO1_DR寄存器的bit3,为1表示输出高电平,为0表示输出低电平。

汇编由一条一条指令构成,指令就涉及到汇编指令。

Int a,b;
a=b;

假设a地址为0X20,b地址为0x30

LDR R0, =0X30
LDR R1, [R0]
LDR R0, =0X20
STR R1, [R0]

我们在使用汇编编写驱动的时候最常用的就是LDRSTR这两个指令。

1、新建工程

新建工程文件夹

新建裸机驱动文件夹

新建LED灯文件夹

2、在VSCode中编写代码

ubuntu中我们使用的是VScode编辑器来写代码,跟在windows中新建项目一样,新建项目、保存工作区,然后编写代码。

3、编写代码

.global _start  /* 全局标号 */_start:/* 1、使能所有时钟 ldf如果用大写就全部用大写,如果小写就全部用小写*/ldr r0, =0X020C4068 //将寄存器CCGR0地址0X020C4068 存放到 寄存器R0 中ldr r1, =0XFFFFFFFF //把寄存器x地址0Xffffffff存放到 寄存器r1 中str r1, [r0]//把寄存器r1中的值(0XFFFFFFFF) 写入到寄存器r0里面的值作为地址的内存里面ldr r0, =0X020C406C/*将寄存器CCGR1地址(0X020C4068) 存放到 寄存器R0 中*/str r1, [r0]ldr r0, =0X020C4070   /* CCGR2 */str r1, [r0]ldr r0, =0X020C4074   /* CCGR3 */str r1, [r0]ldr r0, =0X020C4078   /* CCGR4 */str r1, [r0]ldr r0, =0X020C407C   /* CCGR5 */str r1, [r0]ldr r0, =0X020C4080   /* CCGR6 */str r1, [r0]/* 2、设置GPIO1_IO03复用为GPIO1_IO03 */ldr r0, =0X020E0068 /* 将寄存器SW_MUX_GPIO1_IO03_BASE加载到r0中 */ldr r1, =0X5  /* 设置寄存器SW_MUX_GPIO1_IO03_BASE的MUX_MODE为5 */str r1,[r0]/* 3、配置GPIO1_IO03的IO属性 *bit 16:0 HYS关闭*bit [15:14]: 00 默认下拉*bit [13]: 0 kepper功能*bit [12]: 1 pull/keeper使能*bit [11]: 0 关闭开路输出*bit [7:6]: 10 速度100Mhz*bit [5:3]: 110 R0/6驱动能力*bit [0]: 0 低转换率*/ldr r0, =0X020E02F4 /*寄存器SW_PAD_GPIO1_IO03_BASE */ldr r1, =0X10B0str r1,[r0]/* 4、设置GPIO1_IO03为输出 */ldr r0, =0X0209C004 /*寄存器GPIO1_GDIR */ldr r1, =0X0000008  str r1,[r0]/* 5、打开LED0* 设置GPIO1_IO03输出低电平*/ldr r0, =0X0209C000 /*寄存器GPIO1_DR */ldr r1, =0  str r1,[r0]/** 描述:loop死循环*/
loop:b loop
.global _start @全局标号
/**/

4、编译代码

使用如下三条命令来编译代码

arm-linux-gnueabihf-gcc -g -c leds.s -o led.o
arm-linux-gnueabihf-ld -Ttext 0X87800000 led.o -o led.elf
arm-linux-gnueabihf-objcopy -O binary -S -g led.elf led.bin

编译、链接、格式转换

最终生成了led.o led.elf led.bin三个文件

5、烧写代码

STM32中代码烧写到内部FLASH。IMX6ULL支持SD卡、EMMC、NAND、nor、SPI flash等启动。裸机例程选择烧写到SD卡里面。在ubuntu下向SD卡烧写裸机bin文件。烧写不是将bin文件拷贝到SD卡中,而是将bin文件烧写到SD卡绝对地址上。而且对于I.MX而言,不能直接烧写bin文件,比如先在bin文件前面添加头部。完成这个工作,需要使用正点原子提供的imxdownload软件。

利用FileZilla Client软件将imxdownload软件发送到工程目录下

烧写的三个命令

ls /dev/sd* -l
chmod 777 imxdownload
./imxdownload led.bin /dev/sdb

Imxdownload使用方法,确定要烧写的SD卡文件,需要使用ls /dev/sd* -l命令来检测SD是哪一个文件,我的是/dev/sdb

插拔SD卡可以看到两个的区别

给予imxdownload可执行权限:Chmod 777 imxdownload

烧写:./imxdownload led.bin /dev/sdb

向SD卡烧写完成

Imxdownlaod会向led.bin添加一个头部,生成新的load.imx文件,这个load.imx文件就是最终烧写到SD卡里面去的。

这里要注意的是如果烧写的速度在几十MB/S左右的话,那么可能意味着烧写失败了。而且是因为SD卡没找到而导致烧写失败,这个问题只能重启 ubuntu解决。

之后就可以从读卡器中把SD拔下来,然后插入到开发板中,将拨码开关拔止SD卡模式,供电之后,蓝色LED亮,红色LED灭,两秒钟之后红色LED亮。

最后你觉得哪个板子点灯最简单呢?

End


推荐阅读:

专辑|Linux文章汇总

专辑|程序人生

专辑|C语言

我的知识小密圈

关注公众号,后台回复「1024」获取学习资料网盘链接。

欢迎点赞,关注,转发,在看,您的每一次鼓励,我都将铭记于心~

嵌入式Linux

微信扫描二维码,关注我的公众号

史上最全的LED点灯程序,你都掌握了吗?相关推荐

  1. 标星 2.7w+ 堪称史上最全的微信小程序开发资源汇总

    [公众号回复 "1024",免费领取程序员赚钱实操经验] 2017 年 1 月,微信小程序一夜成名. 微信小程序成名后,各大厂开始效仿,相继出现了支付宝.百度.今日头条.QQ.抖音 ...

  2. 微信小程序之目前为止史上最全的微信小程序项目实例, 微信小程序实战学习

    wx-gesture-lock  微信小程序的手势密码 WXCustomSwitch 微信小程序自定义 Switch 组件模板 WeixinAppBdNovel 微信小程序demo:百度小说搜索 sh ...

  3. 史上最全最新微信小程序自动化教程

    背景 微信小程序作为现在流行的一种应用载体,很多小伙伴都有对其做自动化测试的需求,由于腾讯系QQ.微信等是基于腾讯自研X5内核,不是谷歌原生webview,所以调试会有些许差异(现在很多app产品也开 ...

  4. 史上最全报表教程,还不快收藏!

    做报表这件事外人听起来简单,但只有做过的人才知道,真正做起来很难. 做数据分析已经很多年的我,准备把过往的方法论整理一下,这篇史上最全报表教程,希望大家都收藏一下. 报表教程一:了解常用的3种报表类型 ...

  5. 程序员如何跳出35岁魔咒,史上最全思维图收集解救你

    时常有人在知乎.百度等平台抛出问题:程序员过了 35 岁或 40 岁是不是就失去了竞争力,要转管理岗了吗? 100offer 在2017年对其平台上的5844 位技术岗位求职者做了一个抽样调查,得出了 ...

  6. 失物招领小程序_@全体川农er:川农史上最全失物招领攻略!

    你是否还在偌大的校园里 苦苦寻觅自己丢失的 一卡通.钥匙.U盘 ...... 从今天起别再焦头烂额啦 贴心的融融为大家带来了 川农史上最全失物招领攻略 川农er快叫上小伙伴来看看吧 学校这些失物招领宝 ...

  7. hexo史上最全搭建教程(转载)

    转载 hexo史上最全搭建教程 2018-09-16 20:19:08 zjufangzh 阅读数 46445 收起 分类专栏: 日常技术 版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA ...

  8. 这可能是史上最全的Python算法集!

    来源 | CSDN(ID:CSDNnews ) 本文是一些机器人算法(特别是自动导航算法)的Python代码合集. 其主要特点有以下三点:选择了在实践中广泛应用的算法:依赖最少:容易阅读,容易理解每个 ...

  9. java spring框架 注解_史上最全的java spring注解

    史上最全的java spring注解,没有之一 注解是个好东西,但好东西我们也是看见过,整理过,理解过,用过才知道好.不求我们每个都记住,但求保有印象,在需要的时候能提取出来再查找相关资料,平时工作就 ...

最新文章

  1. 机器学习中数据处理与可视化的python、numpy等常用函数
  2. 节目表演的点子_矿泉水桶当架子鼓,幼儿园小朋友出色表演,圈粉无数
  3. Linux系统编程36:多线程之线程控制之pthread线程库(线程创建,终止,等待和分离)
  4. 关于项目404解决方法:前提条件:项目路径都是正确的
  5. 超炫的3D特效程序管理功能android
  6. 请非技术人员不要对技术人员说这很容易实现
  7. DateUtils(一个日期工具类)
  8. libcurl的封装,支持同步异步请求,支持多线程下载,支持https
  9. GAMP PPP部分学习与流程解析
  10. PCB封装绘制时的摆放方向
  11. 6轴并联机器人示教器
  12. ESC/POS常用打印指令面向对象封装,PHP处理二维码定位,微信小程序蓝牙打印
  13. java计算机毕业设计高校学生党建管理系统源码+mysql数据库+系统+lw文档+部署
  14. Creo建模(持续更新)
  15. CSAPP LAB4 键盘驱动程序的分析与修改(谢罪)
  16. 中国农业科学院培训中心职业技能等级证书及培训证书
  17. python项目源代码-python项目源码
  18. 特权容器以及安全隐患的规避
  19. vue案例-图书管理
  20. python数据分析用什么软件好_居民出行OD调查后数据分析应该用什么软件做?

热门文章

  1. windows系统bat批处理 微信多开,软件多开
  2. 软件测试工程师这个岗位职责是什么?具体都需要干什么?
  3. 如何在Windows 10上的虚拟桌面之间快速切换
  4. Highlight_Matching_Pair问题解决
  5. 每日一讲:C语言getchar函数的用法
  6. “BBEdit”代码与文本编辑功能有哪些?
  7. 淫荡气息溢满魔都……
  8. docker笔记13 - 容器间的单双向通信
  9. 实验A---- ADFA的可判定性
  10. android局部布局刷新,Android RecyclerView 局部刷新分析