The Tutorial of Modelsim

小狼@http://blog.csdn.net/xiaolangyangyang




一、建立库

vlib work(库名)

二、映射库到物理目录

vmap work(映射的逻辑名称) work(存放的物理地址)

三、编译源代码

vlog ../src/MUX_4_8.v

vlog ../src/MUX_4_8_tb.v

四、启动仿真器

vsim -novopt(参数) work(库名).MUX_4_8_tb(顶层文件)

五、添加波形

add wave -hex /*(添加所有波形)

六、执行仿真

run 200

七、使用dataflow查看

view dataflow

注意事项:

1.仿真库是存储已经由modelsim编译过的设计单元的目录,一个项目中包括工作库和资源库;

2.更改当前目录的方法是File->Change Directory;

3.在modelsim中直接编辑波形的方法是:

右键单击信号->Create Wave,然后安流程走完;

4.dataflow的使用方法是:

使用命令view dataflow(或View->New Window->Dataflow)打开dataflow窗口,在dataflow窗口Add->View All Nets,选中某个模块View->ShowWave查看单个模块的波形;

5.在modelsim中自动生成testbench的方法:

首先File->open打开需要仿真的模块的.v文件,Source->Show LanguageTemplates,在显示的Langusge Templates栏中选择“Create Testbench”,软件自动弹出“Create Testbench Wizzard”窗口中,在“work”下选择待仿真的模块,按照提示走完,即可自动生成;

6.编译xilinx的modelsim库的方法:

将modelsim的modelsim.ini文件的只读属性去掉,运行Xilinx/13.4/ISE_DS/ISE/bin/nt下的compxlib,选择modelsim的安装目录、选择芯片、选择编译目录,执行下一步...即可。打开modelsim,即已加入xilinx的仿真库;

xilinx仿真库的源代码在ISE安装目录的verilo/src或vhdl/src目录中,编译后的仿真库一般放在modelsim/xilinx_lib下。

7.modelsim添加xilinx仿真库的方法:

在modelsim的library窗口右键->New->Library,选择a map to an existing library手动添加(映射)

unisims是xilinx所有标准元件库

simprims是用来做xilinx的时序仿真或门级仿真的

xilinxcorelib是xilinx的各IP核

在testbench里使用`timescale的问题

`timescale是编译器指令,用来定义时延经度和时延单位。

命令格式为`timescale time_unit/time_precision

time_unit       为时延单位

time_precision  为时延精度

timescale 1ns/100ps

表示时延单位为1ns,时延精度为100ps。如果后面有语句:

#5.22 a=1;

此时时延值为5.22ns应该对应5.2ns,因为精度为0.1ns。

如果设计中多个模块带有自身的`timescale,编译时模拟器总是定义在所有模块的最小时延精度上,并且所有模块中的时延都自动换算为最小精度。

modelsim教程相关推荐

  1. 最新Quarters II 13.1 下载安装全教程 + ModelSim联调(2022/12/11 )

    目录 1.Quarters II 13.1 下载安装 1.先下载好Quarters软件 和 ModelSim 软件 重要链接见后面!重点解决了视频中存在的一些问题! 2.Quarters 安装(补充视 ...

  2. Quartus中D触发器入门

    Quartus中D触发器入门 一.软件准备 二.D触发器简介 三.创建并设计D触发器原理图并仿真 1.创建工程 2.新建原理图文件 3.编译 4.仿真波形图 5.仿真测试 功能仿真 时序仿真 四.调用 ...

  3. Modelsim初级使用教程

    一. Modelsim简介 Modelsim仿真工具是Model公司开发的.它支持Verilog.VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且 ...

  4. 【黑金原创教程】【Modelsim】【第六章】结束就是开始

    声明:本文为黑金动力社区(http://www.heijin.org)原创教程,如需转载请注明出处,谢谢! 黑金动力社区2013年原创教程连载计划: http://www.cnblogs.com/al ...

  5. ModelSim入门FPGA仿真基础教程之一:软件介绍

    编写这个教程之前,为了让不同水平阶段的人都能阅读,我尽量做到了零基础入门这个目标,所有的操作步骤都经过缜密的思考,做到了详细再详细的程度. 如果您是FPGA开发方面的初学者,那么这个教程一定能够帮助你 ...

  6. Quartus II与Modelsim软件安装教程

    Quartus II与Modelsim软件安装教程 一.Quartus II软件安装 1.Quartus II安装 2.器件安装 3.Quartus 破解 4.USB Blaster 驱动安装 二.M ...

  7. modelsim独立仿真教程

    一.GUI界面的仿真步骤 1.首先把你需要仿真的设计文本和仿真文本全部放在同一个文件夹下面,注意,文件夹路径不能包含中文. 我的设计文件:counter.v `timescale 1ns / 1ns ...

  8. 【黑金原创教程】【Modelsim】【第四章】激励文本就是仿真环境

    声明:本文为黑金动力社区(http://www.heijin.org)原创教程,如需转载请注明出处,谢谢! 黑金动力社区2013年原创教程连载计划: http://www.cnblogs.com/al ...

  9. 【黑金原创教程】【Modelsim】【第三章】理想就是美丽

    声明:本文为黑金动力社区(http://www.heijin.org)原创教程,如需转载请注明出处,谢谢! 黑金动力社区2013年原创教程连载计划: http://www.cnblogs.com/al ...

  10. Xilinx ISE系列教程(6):ModelSim联合仿真

    文章目录 @[toc] 1. ModelSim下载.安装与注册 2. 编译Xilinx仿真库 3. ini文件修改 4. 在ISE中使用ModelSim 5. 示例工程下载 本文是Xilinx ISE ...

最新文章

  1. Storm源码阅读之SpoutOutputCollector
  2. 防止网络请求(或其他回调)引用,从而造成内存泄漏
  3. 【收藏】使用命令行创建maven web项目
  4. 《JAVA程序设计》_第七周学习总结
  5. mysql常见错误解决方法_mysql常见错误解决办法
  6. Spring boot 自动配置工作原理
  7. linux 字符串截取_第13篇:Linux防火墙的日志基本审计
  8. 机器学习--支持向量机实战(三)完整版SMO算法实现
  9. 一些不错的个人博客和资源网站
  10. java-Aspose.Words的使用(Office文档转为PDF)
  11. python实现判断给定列表是否存在重复元素,且索引差小于k
  12. go基于grpc构建微服务框架-集成opentracing
  13. 极域课堂管理系统软件如何取消控制_微缔电子组装业MES系统软件六大功能组成...
  14. 一套数据中心弱电系统安全运维服务方案,完整版素材!
  15. React Native 填坑记录01
  16. RS-232与RS485通信方式区别
  17. 毕业生写论文必备!! 设置奇数偶数页眉不同,奇数页是对应本章章节名,偶数页为论文名
  18. sof与NIOS II的elf固件合并生成jic文件
  19. 百度大脑通用物体识别使用攻略
  20. 魔戒中超眩的武器装备!

热门文章

  1. c++数据格式化输出/字符串复制/字符串比较
  2. hashmap允许null键和值吗_【29期】Java集合框架 10 连问,你有被问过吗?
  3. WIN32汇编语言中位图的使用
  4. 网络工程师 名词解释
  5. ASP.NET学生考勤管理系统【源码分享】
  6. BosonNLP情感词典 评论情感分析
  7. 追忆童年系列:记一次寻找Flash小游戏的经历
  8. 下列哪个工具可以编译java_SUN-Java认证考试题库
  9. python核心编程:入门Python编程的8个实践性建议
  10. linux学习之vi编辑器的使用详解