A B 输出
0 0 保持原状态
0 1 右移
1 0 左移
1 1 清零

由题目要求, 绘制状态表.

四位双向移位寄存器状态表
A B Q0* Q1* Q2* Q3* 输出
0 0 Q0 Q1 Q2 Q3 保持
0 1 R Q0 Q1 Q2 右移
1 0 Q1 Q2 Q3 L 左移
1 1 0 0 0 0 清零

将状态表转换为状态激励表.

四位双向移位寄存器状态激励表
A B D0 D1 D2 D3 输出
0 0 Q0 Q1 Q2 Q3 保持
0 1 R Q0 Q1 Q2 右移
1 0 Q1 Q2 Q3 L 左移
1 1 0 0 0 0 清零

根据绘制好的状态激励表, 用2片双4选1数据选择器74153实现D0、D1、D2和D3的逻辑表达式.

由上述分析, 绘制电路的原理图.

试用D触发器和4选1数据选择器74153设计一个双向4位移位寄存器, 具体要求见下表(A、B为控制端), 左移串行输入信号为L, 右移串行输入信号为R相关推荐

  1. 试用D触发器和4选1数据选择器74153设计一个双向3位移位寄存器, 具体要求见下表(A、B为控制端), 左移串行输入信号为L, 右移串行输入信号为R

    A B 输出 0 0 保持原状态 0 1 右移 1 0 左移 1 1 清零 根据题目的要求, 绘制状态表. 三位双向移位寄存器状态表 A B Q0* Q1* Q2* 输出 0 0 Q0 Q1 Q2 保 ...

  2. 用D触发器和必要的门电路设计一个2位双向移位寄存器. 具体要求见下表(A、B为控制端), 左移串行输入信号为L, 右移串行信号为R

    A B 输出 0 0 保持原状态 0 1 右移 1 0 左移 1 1 清零 在开始分析前, 我们要理清以下四个概念: ①保持原状态: 在下一系统CLK信号来临时, 寄存器状态不变. ②右移: 设寄存器 ...

  3. VHDL四选一数据选择器和基本触发器的设计

    主要内容: 本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现. 四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出. ...

  4. Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器

    Verilog--74HC151八选一数据选择器并扩展为16选1数据选择器 74HC151的仿真 设计思路 采用行为级建模,根据74HC151八选一数据选择器的功能表编程即可. 代码实现 设计模块 / ...

  5. VHDL实验一:2选1数据选择器(绘制原理图)

    一.实验要求 2选1数据选择器 输入信号:两个数据源a和b,选择端s.输出信号:选择输出端y.利用选择端s对输出端进行控制.达到2选1数据选择器的效果. 二.实验内容 1.二选一数据选择器的原理图: ...

  6. FPGA(3)验证数字逻辑(与门、与非门、二选一数据选择器、2-4译码器、半加器、全加器)

    目录 一.验证与门 二.验证与非门 三.验证二选一数据选择器 四.验证2-4译码器 五.验证半加器 六.验证全加器 0.初始化定义 1.第一个半加器 2.第二个半加器 3.得到最终进位Co 代码 0决 ...

  7. Verilog二选一数据选择器

    //二选一数据选择器module mux2_1(a0,a1,s,f) input a0,a1,s; output f;//默认是wire(线)变量 assign f=(s)?a1:a0;//assig ...

  8. FPGA(1)--VHDL--6选1数据选择器

    文章目录 一.实验目的 二.实验内容 三.实验设计 四.实验结果及仿真 五.实验思考与总结 一.实验目的 掌握用VHDL语句进行组合电路设计的方法,并熟悉程序的编译.调试与波形图的仿真. 二.实验内容 ...

  9. Quartus II使用说明(Verilog HDL二选一数据选择器 )

    <可编程数字逻辑电路设计>课程中Quartus II软件的使用说明 VHDL和Verilog HDL不一样. 1.新建文件夹,里面包含5个子文件夹(doc/img/prj/rtl/test ...

  10. 4片74151扩展为32选1数据选择器

    在做较为复杂的电路设计时, 比如设计过程包含对于5变量逻辑函数的实现, 此时若用大量的逻辑门来实现, 那无疑是耗时耗力的: 若直接用32选1数据选择器进行实现, 则只需对照真值表来接线即可, 这样免去 ...

最新文章

  1. SICK LMS151-10100使用攻略
  2. python输出表达式值_python 输出表达式之format
  3. CPU和微架构的概念
  4. JavaScript(四)——具体对象(Math、字符串对象、Date对象、Number对象及Boolean对象)
  5. SQL2008数据类型
  6. 使用Windows窗体的C#旋钮控件
  7. sklearn学习4.数据处理与特征工程
  8. php数组插入mysql,php mysql:将一个php数组插入mysql
  9. Android - singleTask启动模式详解
  10. Micrium代码规范——命名规则
  11. 龙兵智能名片多企业小程序V2.3.3-开源版
  12. 学生每日计划表_初三学生逆袭每日计划表
  13. 没有对象,你凭什么成为百万富翁
  14. 软硬整合:开发一款VR大作背后的技术支撑
  15. 人类历史上最智慧的169条警世箴言(句句珠玑,发人深省)
  16. imagesize()函数获取图片信息
  17. 零基础也能看懂的五大网络安全技术,学网络安全真的可以很简单
  18. 小说中场景的功能_一般文章里的场景描写有什么作用啊详细一些的 最好在
  19. 下半年重要的10大美国写作比赛不要错过
  20. 自制wpf年会抽奖小软件

热门文章

  1. 怎样使用计算机定时关机,如何设置电脑定时关机
  2. centos服务器如何设置代理上网?
  3. html前端简单页面,html网页设计:一个简单的登录界面代码!
  4. OpenCV 教程入门篇
  5. 计算机毕业设计Java演出票在线预定网站系统(源码+系统+mysql数据库+Lw文档)
  6. vue页面回显数据_解决vue项目中页面调用数据 在数据加载完毕之前出现undefined问题...
  7. TTS 语音修复 ,缺少文件的,没注册类的
  8. MySQL 入门常用命令大全
  9. Ubuntu18.04 32位下载
  10. Redis的DMS管理工具treeNms