一、跟据参考的STM32代码了解初始化流程

LCD初始化函数

void LCD_Init(void);

首先是LCD的复位

void LCD_RESET(void)
{
    LCD_RST_CLR;        //拉低复位引脚
    Delay_Ms(100);        //延时100ms    
    LCD_RST_SET;        //拉高复位引脚
    Delay_Ms(50);        //延时50ms
}

向LCD屏幕写入一个8位命令

void LCD_WR_REG(u8 data)

   LCD_CS_CLR;     //拉低片选引脚
     LCD_RS_CLR;      //拉低dc引脚
   SPI_WriteByte(SPI1,data);        //使用硬件SPI写入一个字节的数据  
   LCD_CS_SET;        //拉高片选引脚
}

将8位数据写入LCD屏幕

void LCD_WR_DATA(u8 data)
{
   LCD_CS_CLR;     //拉低片选引脚
     LCD_RS_SET;     //拉高dc引脚
   SPI_WriteByte(SPI1,data);        //使用硬件SPI写入一个字节的数据
   LCD_CS_SET;        //拉高片选引脚
}

设置液晶显示屏的显示方向

void LCD_direction(u8 direction);

清屏,也就是整个屏幕的点都为白色

void LCD_Clear(u16 Color);

LCD显示窗口设置

void LCD_SetWindows(u16 xStar, u16 yStar,u16 xEnd,u16 yEnd);

二、模块框图

三、设计状态机

1、初始化命令

初始化命令是厂家已经配置好的,直接调用这部分就行了。

LCD_WR_REG(0xCF);  
    LCD_WR_DATA(0x00); 
   (省略~)
    LCD_WR_DATA(0xef);     
    LCD_WR_REG(0x11); //Exit Sleep
    Delay_Ms(120);
    LCD_WR_REG(0x29); //display on

2、设置LCD显示方向

为了方便省事,只考虑参考代码中液晶屏顺时针旋转方向为0的情况。

#define USE_HORIZONTAL       0//定义液晶屏顺时针旋转方向     0-0度旋转,1-90度旋转,2-180度旋转,3-270度旋转

void LCD_direction(u8 direction)函数只需要知道LCD_WriteReg(0x36,(1<<3)|(0<<6)|(0<<7));

传输到lcd_write模块的数据(最高位决定命令/数据,0为命令,1为数据)为9'h036和9'h108。

3、清屏

lcd的清屏步骤是先设置LCD的填充窗口大小(320x240)

9'h02A;        //列地址设置
{1'b1,7'b0000_000,start_x[8]};        //x的开始坐标高8位
{1'b1,start_x[7:0]};                           //x的开始坐标低8位
{1'b1,7'b0000_000,end_x[8]};         //x的结束坐标高8位                
{1'b1,end_x[7:0]};                            //x的结束坐标低8位
9'h02B;        //页面地址设置
{1'b1,7'b0000_000,start_y[8]};        //y的开始坐标高8位
{1'b1,start_y[7:0]};                           //y的开始坐标低8位
{1'b1,7'b0000_000,end_y[8]};         //y的结束坐标高8位
{1'b1,end_y[7:0]};                            //y的结束坐标低8位
9'h02C;        //存储器写入

清屏的时候设置的窗口大小(320x240)和start_x、start_y、end_x、end_y已经确定,可以直接用以下部分。

9'h02A
9'h100
9'h100
9'h100
9'h1ef
9'h02B
9'h100
9'h100
9'h101
9'h13f
9'h02C

然后在需要填充的点进行对应颜色(白色)的填充。颜色填充为16位,先传高8位,再传低8位。

一共传输320*240*2-1=153,599次。

四、波形图绘制

五、代码编写

module lcd_init
// #(//仿真时调用// parameter   TIME100MS    = 23'd100,  //23'd5000_000  // TIME150MS    = 23'd150,  //23'd7500_000  // TIME120MS    = 23'd120,  //23'd6000_000  // TIMES4MAX    = 18'd51 ,  //320*240*2+13(设置窗口大小)=153_613 // DATA_IDLE    = 9'b0_0000_0000
// )
#(//驱动lcd时调用parameter   TIME100MS    = 23'd5000_000,  //23'd5000_000  TIME150MS    = 23'd7500_000,  //23'd7500_000  TIME120MS    = 23'd6000_000,  //23'd6000_000  TIMES4MAX    = 18'd153_613 ,  //320*240*2+13(设置窗口大小)=153_613   DATA_IDLE    = 9'b0_0000_0000
)
(input   wire            sys_clk_50MHz ,input   wire            sys_rst_n     ,input   wire            wr_done       ,output  reg             lcd_rst       ,output  reg     [8:0]   init_data     ,output  wire            en_write      ,output  wire            init_done
);
//****************** Parameter and Internal Signal *******************//
//画笔颜色
parameter   WHITE   = 16'hFFFF,BLACK   = 16'h0000,    BLUE    = 16'h001F,  BRED    = 16'hF81F,GRED  = 16'hFFE0,GBLUE  = 16'h07FF,RED     = 16'hF800,MAGENTA = 16'hF81F,GREEN   = 16'h07E0,CYAN    = 16'h7FFF,YELLOW  = 16'hFFE0,BROWN     = 16'hBC40, //棕色BRRED     = 16'hFC07, //棕红色GRAY     = 16'h8430; //灰色//-----------------------------------------------------------------
reg [5:0]   state;
parameter   S0_DELAY100MS         = 6'b000_001, S1_DELAY50MS          = 6'b000_010,S2_WR_90              = 6'b000_100,S3_DELAY120MS         = 6'b001_000,S4_WR_DIRECTION_CLEAR = 6'b010_000,DONE                  = 6'b100_000;reg [22:0]  cnt_150ms;
reg         lcd_rst_high_flag;
reg [6:0]   cnt_s2_num;
reg         cnt_s2_num_done;
reg [17:0]  cnt_s4_num;
reg         cnt_s4_num_done;   //-----------------------------------------------------------------
//状态跳转
always@(posedge sys_clk_50MHz or negedge sys_rst_n)if(!sys_rst_n)state <= S0_DELAY100MS;elsecase(state)S0_DELAY100MS:state <= (cnt_150ms == TIME100MS) ? S1_DELAY50MS : S0_DELAY100MS;S1_DELAY50MS:state <= (cnt_150ms == TIME150MS) ? S2_WR_90 : S1_DELAY50MS;S2_WR_90:state <= (cnt_s2_num_done) ? S3_DELAY120MS : S2_WR_90;S3_DELAY120MS:state <= (cnt_150ms == TIME120MS) ? S4_WR_DIRECTION_CLEAR : S3_DELAY120MS; S4_WR_DIRECTION_CLEAR:state <= (cnt_s4_num_done) ? DONE : S4_WR_DIRECTION_CLEAR;DONE:state <= DONE;default:state <= S0_DELAY100MS;endcase//cnt_150ms
always@(posedge sys_clk_50MHz or negedge sys_rst_n)if(!sys_rst_n)cnt_150ms <= 23'd0;else if(state == S0_DELAY100MS || state == S1_DELAY50MS || state == S3_DELAY120MS )cnt_150ms <= cnt_150ms + 1'b1;elsecnt_150ms <= 23'd0;//lcd_rst_high_flag
always@(posedge sys_clk_50MHz or negedge sys_rst_n)if(!sys_rst_n)lcd_rst_high_flag <= 1'b0;else if(state == S0_DELAY100MS && (cnt_150ms == TIME100MS - 1'b1))lcd_rst_high_flag <= 1'b1;elselcd_rst_high_flag <= 1'b0;//lcd_rst
always@(posedge sys_clk_50MHz or negedge sys_rst_n)if(!sys_rst_n)lcd_rst <= 1'b0;else if(lcd_rst_high_flag)lcd_rst <= 1'b1;elselcd_rst <= lcd_rst;
//-----------------------------------------------------------------
//cnt_s2_num决定要传的命令/数据
always@(posedge sys_clk_50MHz or negedge sys_rst_n)if(!sys_rst_n)cnt_s2_num <= 7'd0;else if(state != S2_WR_90)cnt_s2_num <= 7'd0;else if(wr_done && state == S2_WR_90)cnt_s2_num <= cnt_s2_num + 1'b1;elsecnt_s2_num <= cnt_s2_num;//cnt_s2_num_done == 1'b1则S2_WR_90完成
always@(posedge sys_clk_50MHz or negedge sys_rst_n)if(!sys_rst_n)cnt_s2_num_done <= 1'b0;else if(cnt_s2_num == 7'd89 && wr_done == 1'b1)cnt_s2_num_done <= 1'b1;elsecnt_s2_num_done <= 1'b0;//init_data[8:0]
always@(posedge sys_clk_50MHz or negedge sys_rst_n)if(!sys_rst_n)init_data <= DATA_IDLE;else if(state == S2_WR_90)//初始化命令/数据,直接借用厂家的case(cnt_s2_num)    //init_data[8] == 1'b1写数据; == 1'b0写命令7'd0 :  init_data <= 9'h0CF ; 7'd1 :  init_data <= 9'h100 ;                        7'd2 :  init_data <= 9'h1C9 ;                        7'd3 :  init_data <= 9'h130 ;                        7'd4 :  init_data <= 9'h0ED ;  7'd5 :  init_data <= 9'h164 ;                        7'd6 :  init_data <= 9'h103 ;                        7'd7 :  init_data <= 9'h112 ;                        7'd8 :  init_data <= 9'h181 ;                        7'd9 :  init_data <= 9'h0E8 ;  7'd10:  init_data <= 9'h185 ;                        7'd11:  init_data <= 9'h110 ;                        7'd12:  init_data <= 9'h17A ;                        7'd13:  init_data <= 9'h0CB ;  7'd14:  init_data <= 9'h139 ;                        7'd15:  init_data <= 9'h12C ;                        7'd16:  init_data <= 9'h100 ;                        7'd17:  init_data <= 9'h134 ;                        7'd18:  init_data <= 9'h102 ;                        7'd19:  init_data <= 9'h0F7 ;  7'd20:  init_data <= 9'h120 ;                        7'd21:  init_data <= 9'h0EA ;  7'd22:  init_data <= 9'h100 ;                        7'd23:  init_data <= 9'h100 ;                        7'd24:  init_data <= 9'h0C0 ;  7'd25:  init_data <= 9'h11B ;                        7'd26:  init_data <= 9'h0C1 ;  7'd27:  init_data <= 9'h100 ;                        7'd28:  init_data <= 9'h0C5 ;  7'd29:  init_data <= 9'h130 ;                        7'd30:  init_data <= 9'h130 ;                        7'd31:  init_data <= 9'h0C7 ;  7'd32:  init_data <= 9'h1B7 ;                        7'd33:  init_data <= 9'h036 ;  7'd34:  init_data <= 9'h108 ;                        7'd35:  init_data <= 9'h03A ;  7'd36:  init_data <= 9'h155 ;                        7'd37:  init_data <= 9'h0B1 ;  7'd38:  init_data <= 9'h100 ;                        7'd39:  init_data <= 9'h11A ;                        7'd40:  init_data <= 9'h0B6 ;  7'd41:  init_data <= 9'h10A ;                        7'd42:  init_data <= 9'h1A2 ;                        7'd43:  init_data <= 9'h0F2 ;  7'd44:  init_data <= 9'h100 ;                        7'd45:  init_data <= 9'h026 ;  7'd46:  init_data <= 9'h101 ;                        7'd47:  init_data <= 9'h0E0 ;  7'd48:  init_data <= 9'h10F ;                        7'd49:  init_data <= 9'h12A ;                        7'd50:  init_data <= 9'h128 ;                        7'd51:  init_data <= 9'h108 ;                        7'd52:  init_data <= 9'h10E ;                        7'd53:  init_data <= 9'h108 ;                        7'd54:  init_data <= 9'h154 ;                        7'd55:  init_data <= 9'h1A9 ;                        7'd56:  init_data <= 9'h143 ;                        7'd57:  init_data <= 9'h10A ;                        7'd58:  init_data <= 9'h10F ;                        7'd59:  init_data <= 9'h100 ;                        7'd60:  init_data <= 9'h100 ;                        7'd61:  init_data <= 9'h100 ;                        7'd62:  init_data <= 9'h100 ;                        7'd63:  init_data <= 9'h0E1 ;  7'd64:  init_data <= 9'h100 ;                        7'd65:  init_data <= 9'h115 ;                        7'd66:  init_data <= 9'h117 ;                        7'd67:  init_data <= 9'h107 ;                        7'd68:  init_data <= 9'h111 ;                        7'd69:  init_data <= 9'h106 ;                        7'd70:  init_data <= 9'h12B ;                        7'd71:  init_data <= 9'h156 ;                        7'd72:  init_data <= 9'h13C ;                        7'd73:  init_data <= 9'h105 ;                        7'd74:  init_data <= 9'h110 ;                        7'd75:  init_data <= 9'h10F ;                        7'd76:  init_data <= 9'h13F ;                        7'd77:  init_data <= 9'h13F ;                        7'd78:  init_data <= 9'h10F ;                        7'd79:  init_data <= 9'h02B ;  7'd80:  init_data <= 9'h100 ;                        7'd81:  init_data <= 9'h100 ;                        7'd82:  init_data <= 9'h101 ;                        7'd83:  init_data <= 9'h13f ;                        7'd84:  init_data <= 9'h02A ;  7'd85:  init_data <= 9'h100 ;                        7'd86:  init_data <= 9'h100 ;                        7'd87:  init_data <= 9'h100 ;                        7'd88:  init_data <= 9'h1ef ;                        7'd89:  init_data <= 9'h011 ;  default: init_data <= DATA_IDLE;endcaseelse if(state == S4_WR_DIRECTION_CLEAR)case(cnt_s4_num)'d0 :  init_data <= 9'h029;//设置LCD显示方向'd1 :  init_data <= 9'h036;'d2 :  init_data <= 9'h108;//LCD显示窗口设置'd3 :  init_data <= 9'h02a;'d4 :  init_data <= 9'h100;'d5 :  init_data <= 9'h100;'d6 :  init_data <= 9'h100;'d7 :  init_data <= 9'h1ef;'d8 :  init_data <= 9'h02b;'d9 :  init_data <= 9'h100;'d10:  init_data <= 9'h100;'d11:  init_data <= 9'h101;'d12:  init_data <= 9'h13f;'d13:  init_data <= 9'h02c;//填充对应点的颜色,可以换用比较明显的红色,便于观察现象default : //当cnt_s4_num大于14且为偶数时,传输颜色数据的高8位if(cnt_s4_num >= 'd14 && cnt_s4_num[0] == 0)init_data <= {1'b1,WHITE[15:8]};//当cnt_s4_num大于14且为奇数时,传输颜色数据的低8位else if(cnt_s4_num >= 'd14 && cnt_s4_num[0] == 1)init_data <= {1'b1,WHITE[7:0]};elseinit_data <= DATA_IDLE;endcaseelseinit_data <= DATA_IDLE;//cnt_s4_num决定要传的命令/数据
always@(posedge sys_clk_50MHz or negedge sys_rst_n)if(!sys_rst_n)cnt_s4_num <= 18'd0;else if(state != S4_WR_DIRECTION_CLEAR)cnt_s4_num <= 18'd0;else if(wr_done && state == S4_WR_DIRECTION_CLEAR)cnt_s4_num <= cnt_s4_num + 1'b1;else                   cnt_s4_num <= cnt_s4_num;//cnt_s4_num_done
always@(posedge sys_clk_50MHz or negedge sys_rst_n)if(!sys_rst_n)cnt_s4_num_done <= 1'b0;else if(cnt_s4_num == TIMES4MAX && wr_done == 1'b1)cnt_s4_num_done <= 1'b1;elsecnt_s4_num_done <= 1'b0;  assign en_write = (state == S2_WR_90 || state == S4_WR_DIRECTION_CLEAR) ? 1'b1 : 1'b0;      assign init_done = (state == DONE) ? 1'b1 : 1'b0;        endmodule

六、仿真代码

`timescale 1ns/1nsmodule tb_lcd_init();reg             sys_clk_50MHz;
reg             sys_rst_n    ;
reg             wr_done      ;wire            lcd_rst      ;
wire    [8:0]   init_data    ;
wire            en_write     ;
wire            init_done    ;reg     [1:0]   cnt1;initial
beginsys_clk_50MHz <= 1'b1;sys_rst_n     <= 1'b0;wr_done       <= 1'b0;#100sys_rst_n     <= 1'b1;
endalways #10 sys_clk_50MHz <= ~sys_clk_50MHz;always@(posedge sys_clk_50MHz or negedge sys_rst_n)if(!sys_rst_n)cnt1 <= 'd0;else if(en_write)cnt1 <= cnt1 + 1'b1;always@(posedge sys_clk_50MHz or negedge sys_rst_n)if(!sys_rst_n)wr_done <= 1'b0;else if(cnt1 == 'd3)wr_done <= 1'b1;elsewr_done <= 1'b0;lcd_init    lcd_init_inst
(.sys_clk_50MHz (sys_clk_50MHz),.sys_rst_n     (sys_rst_n    ),.wr_done       (wr_done      ),.lcd_rst       (lcd_rst      ),.init_data     (init_data    ),.en_write      (en_write     ),.init_done     (init_done    )
);endmodule

七、仿真波形图

FPGA驱动SPI接口的LCD(三)——LCD的初始化相关推荐

  1. 基于FPGA实现SPI接口(配置或通信)

    基于FPGA实现SPI接口(配置或通信总线) 1)总线简介 串行外设接口(SPI)是微控制器(FPGA)和外围IC(如传感器.ADC.DAC.移位寄存器.SRAM等)之间使用最广泛的接口之一.主要用于 ...

  2. 基于FPGA的VGA接口设计(三)

    关于VGA系列文章的所有链接: 基于FPGA的VGA接口设计(一) 基于FPGA的VGA接口设计(二) 基于FPGA的VGA接口设计(三) 结篇   在之前的文章中介绍了有关VGA的扫描方式.行场同步 ...

  3. oracle驱动jdbc接口,ORACLE中三种类型的JDBC驱动

    oracle的jdbc驱动主要有下面三类: 1.JDBC OCI: oci是oracle call interface的缩写,此驱动类似于传统的ODBC 驱动.因为它需要Oracle Call Int ...

  4. 基于labview的温湿度数据采集_【零偏原创】基于FPGA的多路SPI接口并行数据采集系统...

    摘 要:本文简述了SPI协议,建立了基于FPGA的SPI接口电路模型,并说明其输入输出端口和数据发送和接收过程,仿真验证了在主状态机控制下10个SPI接口并行采集数据,并在FPGA开发板上进行验证. ...

  5. android系统平台显示驱动开发简要:Samsung LCD接口篇『三』

    平台信息: 内核:linux3.4.39 系统:android4.4  平台:S5P4418(cortex a9) 作者:瘋耔(欢迎转载,请注明作者) 欢迎指正错误,共同学习.共同进步!! 关注博主新 ...

  6. 也玩MF,雅典娜4 SPI 接口驱动Nokia 5110 LCD

    一直以来都想玩一玩嵌入式开发,苦于没有任何硬件基础 ,学校里学的一点入门级的电子信息基础也都忘记的差不多了.很久以前就关注了MF,优势我就不多说了,但是入手门槛还是很高.直到看到大石头的文章. htt ...

  7. 【嵌入式】MCU(HC32F460)+SPI接口LCD液晶屏ILI9341 移植emWin记录1----点亮LCD屏

    目录 一 SPI屏的接线 二 SPI屏驱动初始化 三 SPI屏点亮 四 附录 一 SPI屏的接线 SPI屏的特点在于接线简单,只需要四根SPI线以及几个GPIO口即可驱动工作,但是由于非并口的,所以当 ...

  8. mipi接口 1280(RGB)*720 LCD屏开发驱动笔记帖

    ps:创业开发产品,自学笔记,不一定适合教材性的阅读,零碎整理,自我总结用 材料:4.1寸lcd屏两块,屏自带触控,屏幕资料具备,rk3399和MK8788开发版上分别开发. 开发环境: 1]rk33 ...

  9. Android LCD(三):LCD接口篇【转】

    本文转载自:http://blog.csdn.net/xubin341719/article/details/9177085 关键词:Android LCD控制器 Framebuffer PWM  平 ...

最新文章

  1. List和ObservableCollection的相互转化
  2. R语言使用survminer包生存分析及可视化(ggsurvplot)实战详解:从数据集导入、生存对象生成、ggsurvplot可视化参数配置、设置、可视化对比
  3. log4j 源码解析_log4j1.x设置自动加载log4j.xml
  4. 微信做入口,微软却想做入口的入口
  5. Java第四次作业——面向对象高级特性(继承和多态)
  6. 大学python实训总结-【实训总结】大学生python相关实训总结
  7. 正则表达式 (grep)
  8. php签入html出来的影响seo吗_搜索引擎优化_SEO必备6大技能+SEO误区讲解!
  9. 学python能赚钱吗-做直播能有多赚钱,Python告诉你
  10. 7 Object类型
  11. 微信小游戏源码(从入门到入坑-火柴人勇闯地下城))
  12. librdkafka安装步骤
  13. iphone6连接电脑后计算机不显示器,苹果手机怎么连接电脑没反应
  14. 手把手教你进行腾讯云域名注册
  15. 修复图片移入移出抖动错位bug
  16. 管理学之父彼得·德鲁克:目标管理的基本思路、原则及分解方法
  17. 【转载】2021互联网行业术语@20210311
  18. mac 重启php-fpm
  19. 区块链是新的 Linux 而非新的互联网
  20. maven 入门(转)

热门文章

  1. html中怎么设置滚动条效果,滚动条怎么实现
  2. 数据库建表语句改成数据字典到word
  3. 意男子16年秘建地下神庙 堪称世界第八奇迹(转)
  4. main函数的return
  5. 记录一下自己碰到的项目可以运行maven打包失败的案例
  6. java注释和注解_注释注解JAVA注解
  7. MWCS2019:努比亚高级副总裁储昭立揭秘努比亚5G时代布局
  8. CSS 3之模糊与透明色背景
  9. EasyRecovery16免费版电脑数据恢复工具
  10. Linux交互式编程:expect