时间:2011.03.13

http://oomusou.cnblogs.com/

真 OO无双

http://blog.sina.com.cn/s/blog_66eaee8f0100hrjl.html

赤松子耶的博客

收藏夹导出

<!DOCTYPE NETSCAPE-Bookmark-file-1>
<!-- This is an automatically generated file.
It will be read and overwritten.
Do Not Edit! -->
<META HTTP-EQUIV="Content-Type" CONTENT="text/html">
<TITLE>Bookmarks</TITLE>
<H1>Bookmarks</H1>
<DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="1">money</H3>
<DL><p>
 <DT><A HREF="http://www.fj.10086.cn/service/user/mobilenoLogin.do" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >网上营业厅错误提示_中国移动福建公司</A>
 <DT><A HREF="http://www.boc.cn/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >中国银行全球门户网站</A>
 <DT><A HREF="http://www.mediayou.net/web/index.asp?lan=tha" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >mediaU – Your Best Choice of Internet Radio Portal !</A>
 <DT><A HREF="http://www.meituan.com/deal/buy/qizhongwuqi" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >美梦成真_0元抽奖!“七种武器”满足你的所有数码梦想,价值88593元,全部顶配!(内含iPhone4+iPad +iMac+Macbook Air+SONY60寸3D液晶电视+SONY PS3+SONY数码微单相机)邀请更多朋友参与,更大获奖几率! _ 美团网福州站</A>
 <DT><A HREF="http://4.app.meitu.com/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >美图秀秀大脑分析_最好玩的在线测试_4.app.meitu.com</A>
 <DT><A HREF="http://www.meituan.com/order/return/tenpay?orderid=3627997" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="6" >送给小孩的DVD_49元</A>
 <DT><A HREF="http://www.360buy.com/product/203716.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="7" >【佳能A3100】佳能_我买810元_套餐3</A>
 <DT><A HREF="http://item.taobao.com/item.htm?id=3424889791&ref=http%3A%2F%2Fs8.taobao.com%2Fsearch%3Fq%3D%25CC%25B7%25C4%25BE%25BD%25B3%26cat%3D0%26commend%3D1%252C2%26sort%3Dcoefp%26tab%3Dcoefp%26mode%3D23%26pid%3Dmm_23442788_0_0%26s%3D40&ali_trackid=2:mm_23442788_0_0,0:127750790_13_1523920834" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="8" >刘艺超送的_28元</A>
 <DT><A HREF="http://item.taobao.com/item.htm?id=5558302085&ref=http%3A%2F%2Fs8.taobao.com%2Fsearch%3Fq%3D%25CC%25EC%25CC%25EC%25BC%25FB%25CC%25B4%25CF%25E3%25C4%25BE%25CA%25E1%26pid%3Dmm_15144495_2216478_8873461%26unid%3D6%26mode%3D63%26from%3Drs%26navlog%3Drs-1-q-%25CC%25EC%25CC%25EC%25BC%25FB%25CC%25B4%25CF%25E3%25C4%25BE%25CA%25E1&ali_trackid=2:mm_15144495_2216478_8873461,6:127770990_14_1524284734" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="9" >刘艺超送的_15.5元</A>
 <DT><A HREF="http://tuan.qq.com/my" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="10" >QQ团购--我跟丽芬买</A>
 <DT><A HREF="http://trade.taobao.com/trade/detail/tradeSnap.htm?tradeID=62261285793371" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="11" >156元包邮_刘培买140元,跟商家谈</A>
 <DT><A HREF="http://baike.baidu.com/view/1036254.htm" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="12" >约翰尼·德普_百度百科</A>
 <DT><A HREF="http://tuan.qq.com/my" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="13" >QQ团购--每天一次超便宜超优惠精品团购</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="2">常用</H3>
<DL><p>
 <DT><A HREF="http://www.baidu.com/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >百度一下,你就知道</A>
 <DT><A HREF="http://www.google.com.hk/webhp?client=aff-cs-360se&forid=1&ie=utf-8&oe=UTF-8" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >Google</A>
 <DT><A HREF="http://xiamen.edushi.com/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >厦门市地图_公交查询地图_旅游地图_三维地图_电子地图_E都市</A>
 <DT><A HREF="http://xm.ganji.com/bus/station/350200010051_1.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >软件园二期公交路线 _ 厦门软件园二期公交车线路查询 - 厦门赶集网</A>
 <DT><A HREF="http://user.qzone.qq.com/622000575/blog/1282891332" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >高娓娓道美国 -- 腾讯博客 [http___622000575.qzone.qq.com] -腾讯博客</A>
 <DT><A HREF="http://bus.aibang.com/xiamen/line-941%E8%B7%AF%28%E5%8E%9F201%29%28%E7%81%8C%E5%8D%97%E5%B7%A5%E4%B8%9A%E5%8C%BA-%E6%B5%B7%E6%BB%A8%E5%A4%A7%E5%8E%A6%29" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="6" >厦门941路_厦门941路公交_厦门公交941路_厦门941路公交车</A>
 <DT><A HREF="http://www.duba.net/shadu/index.shtml" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="7" >在线杀毒 - 使用网页方便查杀病毒、木马、修复漏洞 - 金山毒霸</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="3">招聘</H3>
<DL><p>
 <DT><A HREF="http://job.dajie.com/f6f5ac06-99a9-42b1-b686-6c4b289324a4.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >友晶科技(武汉)招聘嵌入式技术支持工程师_友晶科技(武汉)_应届生求职_大街网 - 大街网 - dajie.com</A>
 <DT><A HREF="http://www.xgzrc.com/person/index.asp" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >新工作人才网--个人会员管理中心</A>
 <DT><A HREF="http://eee.hqu.edu.cn/showxsgz.asp?id=1472" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >华侨大学信息科学与工程学院</A>
 <DT><A HREF="http://my.chinahr.com/Resume55/ResumePage.aspx?nochooselang=1&prj=434759" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >我的简历——求职 招聘 中华英才网!</A>
 <DT><A HREF="http://hi.baidu.com/icc_fuzhou/blog/item/a19601fcbc0ef284b901a0b5.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >威盛笔试题(转)_FPGA (fpga,sopc)_百度空间</A>
 <DT><A HREF="http://jobs.zhaopin.com/%E9%A1%B9%E7%9B%AE%E7%BB%8F%E7%90%86_150314414250134.htm" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="6" >项目经理_厦门市美亚柏科信息股份有限公司_职位搜索_智联招聘</A>
 <DT><A HREF="http://baike.baidu.com/view/4978523.htm" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="7" >厦门市美亚柏科信息股份有限公司_百度百科</A>
 <DT><A HREF="http://www.yingjiesheng.com/job-001-002-961.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="8" >[厦门]厦门雅迅网络股份有限公司2011校园招聘_最新校园招聘职位信息</A>
 <DT><A HREF="http://baike.baidu.com/view/1936101.htm" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="9" >厦门雅迅网络股份有限公司_百度百科</A>
 <DT><A HREF="http://www.star-net.cn/column.asp?M_ID=2&SeM_ID=9&T=9:40:22" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="10" >星网锐捷大事记</A>
 <DT><A HREF="http://www.yjbys.com/zhaopin/company-1699088.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="11" >星网锐捷2011校园招聘-最新招聘信息-应届毕业生求职网</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="4">HQU</H3>
<DL><p>
 <DT><A HREF="https://202.109.210.5/vone/user/login/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >用户登录</A>
 <DT><A HREF="http://www.hqu.edu.cn/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >[国立华侨大学][Huaqiao University]</A>
 <DT><A HREF="http://scholar.google.com/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >Google 学术搜索</A>
 <DT><A HREF="http://bbs.jjxj.org/tag-VPN.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >VPN - 经济学家</A>
 <DT><A HREF="http://www.360doc.com/content/10/1013/00/3843418_60525903.shtml" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >CNKI、万方、维普导入EndnoteX3的filter-NEtoEN - 软件下载 - ...</A>
 <DT><A HREF="http://xsc.hqu.edu.cn/NewsList.aspx?cid=34&page=4&mid=30" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="6" >华侨大学学生处</A>
 <DT><A HREF="http://www.rucmba.com/rucmba/bbs/memcp.php" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="7" >人大MBA论坛 - Powered by Discuz!</A>
 <DT><A HREF="http://guest.cnki.net/grid2008/brief/result.aspx?&PageName=CNKISPACE_ASPX&DbPrefix=SCDB&DbCatalog=%E4%B8%AD%E5%9B%BD%E5%AD%A6%E6%9C%AF%E6%96%87%E7%8C%AE%E7%BD%91%E7%BB%9C%E5%87%BA%E7%89%88%E6%80%BB%E5%BA%93&ConfigFile=SCDB.xml&DBViewType=FullText&db_value=CJFQ%2CCDFD%2CCMFD%2CCPFD&NaviField=%E4%B8%93%E9%A2%98%E5%AD%90%E6%A0%8F%E7%9B%AE%E4%BB%A3%E7%A0%81&orderby=relevant&txt_extension=xsl&txt_1_sel=%E4%B8%BB%E9%A2%98&txt_1_value1=Sobel &txt_1_value2=HSV%E9%A2%9C%E8%89%B2%E7%A9%BA%E9%97%B4 &txt_1_relation=%23CNKI_OR&txt_1_special1=%3D&sTab=normal&navicode=" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="8" >数字出版物超市_检索结果页</A>
 <DT><A HREF="http://www.cnki.com.cn/Article/CJFDTotal-YQJY201006033.htm" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="9" >CCSDS与JPEG2000图像压缩算法结构的对比分析--《电子元器件应用》2010年06期</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="5">STU</H3>
<DL><p>
 <DT><A HREF="http://www.pudn.com/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >www.pudn.com - 程序员联合开发网 源代码数目115万个</A>
 <DT><A HREF="http://wubi.hrzzz.com/?wubi=%CF%BC" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >霞的五笔字根怎么打_ 五笔字根在线查询_提供五笔反查工具_输入法下载_表图_口诀</A>
 <DT><A HREF="http://free-translation.imtranslator.net/default.asp?ldr=el_ja&loc=zh" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >中文(简体) 在线翻译_ 希腊语 日语 翻译</A>
 <DT><A HREF="http://www.3333355555.com/u-2055544" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >35源码 _ 源码,文档,书籍,编程资源下载</A>
 <DT><A HREF="http://5460521xp.blog.163.com/blog/#m=0" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >流星萍儿的日志 - 网易博客</A>
 <DT><A HREF="http://www.eeworld.com.cn/FPGA/sptx/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="6" >视频与图像-FPGA --电子工程世界网</A>
 <DT><A HREF="http://www.docx-converter.com/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="7" >.docx DOCX Convert Office 2007</A>
 <DT><A HREF="http://opencores.org/login" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="8" >Home __ OpenCores</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="6">Altera</H3>
<DL><p>
 <DT><A HREF="http://www.altera.com.cn/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >Altera – FPGA、CPLD、ASIC和可编程逻辑</A>
 <DT><A HREF="http://www.altera.com.cn/education/courses/online-courses.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >在线技术培训</A>
 <DT><A HREF="https://mysupport.altera.com/etraining/login.asp" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >Class Registration</A>
 <DT><A HREF="http://www.altera.com/customertraining/webex/N_Sw_Tools_Overview_CN/player.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >Embedded Overview</A>
 <DT><A HREF="http://www.terasic.com.cn/cn/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >友晶科技 ___ Terasic Technologies</A>
 <DT><A HREF="http://www.terasic.com.cn/cgi-bin/page/archive.pl?Language=China&CategoryNo=146&No=543&PartNo=4" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="6" >Terasic - 母板 - Cyclone IV - Altera DE2-115 教育开发平台</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="7">DE2_70</H3>
<DL><p>
 <DT><A HREF="http://cslab.nju.edu.cn/info.php?id=57" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >在线课件 - 南京大学计算机科学与技术实验教学中心</A>
 <DT><A HREF="http://oomusou.cnblogs.com/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >真 OO无双 之 真乱舞书 - 博客园</A>
 <DT><A HREF="http://blog.ednchina.com/ilove314/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >特权's Blog——永远忠于年轻时的梦想!</A>
 <DT><A HREF="http://blog.chinaaet.com/ilove314/p1" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >特权的博客 - 社区 - ChinaAET电子技术应用网</A>
 <DT><A HREF="http://download.ednchina.com/Detail/101271/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >QuartusII 调用ModelSim仿真 更新版在特权同学的基础上</A>
 <DT><A HREF="http://blog.sina.com.cn/beachprint" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="6" >beachprint_新浪博客</A>
 <DT><A HREF="http://blog.cechina.cn/dingxiubing/244753/message.aspx" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="7" >如何解決TRDB-D5M CMOS在DE2延迟的問題? -- 大兵的Blog</A>
 <DT><A HREF="http://www.cnblogs.com/maqingbiao/archive/2010/07/27/1786187.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="8" >(原创)采用加法器数乘法器实现17位有符号数相乘(Verilog) - 四维构想 - 博客园</A>
 <DT><A HREF="http://www.cnblogs.com/tongjirailway/archive/2010/11/15/1878033.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="9" >【原创】基于DE2-115的8点FFT实现 - henry - 博客园</A>
 <DT><A HREF="http://home.cnblogs.com/blog/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="10" >博客 - 我的园子</A>
 <DT><A HREF="http://yliangliang.blog.sohu.com/75753896.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="11" >在DE2板上终于可以跑uclinux了-FPGA技术研究-有间客栈(eda_yll)-搜狐空间</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="8">FPGA</H3>
<DL><p>
 <DT><A HREF="http://www.verycd.com/topics/2806048/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >《深入浅出玩转FPGA视频学习课程》特权同学_35讲全[wmv]_VeryCD电驴下载</A>
 <DT><A HREF="http://group.ednchina.com/2376/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >《基于FPGA的快速系统原型开发》翻译 -- EDN电子设计技术</A>
 <DT><A HREF="http://blog.cechina.cn/junhong07/2009/10/29.aspx" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >2009-10-29 -- 电子产品世界</A>
 <DT><A HREF="http://blog.ednchina.com/jianfengxy/177478/message.aspx" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >Verilog中Task语句可综合设计实例 -- jianfengxy's Blog</A>
 <DT><A HREF="http://hi.baidu.com/davinzhan/blog/item/5ad9e21660aa4659f2de3278.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >(筆記) 如何使用ModelSim作前仿真與後仿真_ (SOC) (Quartus II) (ModelSim)_CPLD FPGA_百度空间</A>
 <DT><A HREF="http://www.farsight.com.cn/index.htm" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="6" >华清远见企业学院-高端嵌入式培训专家-3G培训_嵌入式Linux培训_Android培训_ARM培训_DSP_FPGA培训-北京_上海_深圳_成都_南京_武汉</A>
 <DT><A HREF="file:///E:/modelsim/中文的ModelSim讲座-1/index.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="7" >Farsight Online Course_modelsim的讲座</A>
 <DT><A HREF="http://www.ourdev.cn/bbs/bbs_content_all.jsp?bbs_sn=4127688" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="8" >杂谈--我眼中的FPGA与DSP【原创】 中国电子开发网(www.ourdev.cn)</A>
 <DT><A HREF="http://opencores.org/projects" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="9" >Projects __ OpenCores</A>
 <DT><A HREF="http://www.61eda.com/Soft/Index.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="10" >61EDA中国电子网---开阔思路,放飞想象,步入顶尖EDA电子工程师的成长通道! __ 下载中心 __ 首页</A>
 <DT><A HREF="http://blog.csdn.net/chenyusiyuan/archive/2008/06/05/2513126.aspx" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="11" >小波图像分解与重构程序存在的问题与解决办法 - 晨宇思远 - CSDN博客</A>
 <DT><A HREF="http://group.ednchina.com/2754/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="12" >NIOS II那些事儿 -- EDN电子设计技术</A>
 <DT><A HREF="http://so.ednchina.com/SearchList/article/?q=VHDL" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="13" >文章</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="9">图书</H3>
<DL><p>
 <DT><A HREF="http://www.hxedu.com.cn/hxedu/bookSearch?cate=01010204&pageNo=3" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >图书检索结果列表-华信教育资源网</A>
 <DT><A HREF="http://www.hxedu.com.cn/hxedu/index.jsp" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >华信首页-华信教育资源网</A>
 <DT><A HREF="http://book2.duxiu.com/search?Field=all&channel=search&sw=Verilog+HDL%CA%FD%D7%D6%C9%E8%BC%C6%D3%EB%D7%DB%BA%CF&edtype=&searchtype=1" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >Verilog HDL数字设计与综合_华侨大学图书搜索</A>
 <DT><A HREF="http://book2.duxiu.com/search?sw=verilog%CA%FD%D7%D6%CF%B5%CD%B3%C9%E8%BC%C6&allsw=&searchtype=1&channel=search&bCon=&ecode=GBK&Field=all" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >verilog数字系统设计_华侨大学图书搜索</A>
 <DT><A HREF="http://www.phei.com.cn/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >电子工业出版社---www.phei.com.cn</A>
 <DT><A HREF="http://dinoshare.spaces.live.com/?_c11_BlogPart_pagedir=Next&_c11_BlogPart_handle=cns!1EA2B91966DBAEEC!417&_c11_BlogPart_BlogPart=blogview&_c=BlogPart" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="6" >人生五暢 的日志 — Windows Live</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="10">CET6</H3>
<DL><p>
 <DT><A HREF="http://www.cet6.net/category/cet6/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >六级考试_CET6 - 英语六级考试网(CET6) - 英语六级答案,英语六级听力下载,英语六级真题下载,英语六级词汇下载和CET6成绩查询</A>
 <DT><A HREF="http://cidian.youdao.com/features/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >有道词典特色功能</A>
 <DT><A HREF="http://bulo.hjenglish.com/home?r=reg" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >首页 - 我的沪江</A>
 <DT><A HREF="http://english.cntv.cn/program/newshour/20101213/106336.shtml" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >Studio interview_ Timing & frequency of military drills CCTV News - CNTV English</A>
 <DT><A HREF="http://my.koolearn.com/perCenterSelect?_method=myCourse" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >个人中心</A>
 <DT><A HREF="http://my.koolearn.com/perCenterSelect?_method=selectCourse#soll" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="6" >新东方在线</A>
 <DT><A HREF="http://bbs.koolearn.com/thread.jspa?threadID=3972727&tstart=0" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="7" >新东方论坛_ 酷学社区 - 【天天 VOA新闻 慢速】12月14日</A>
 <DT><A HREF="http://wendutushu.blog.sohu.com/50362344.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="8" >英语四、六级考试作文冲刺点拨(摘自郭崇兴博客)-文都图书编辑部-搜狐博客</A>
 <DT><A HREF="http://edu.qq.com/kszx/cet.shtml?qq=0&ADUIN=1291369602&ADSESSION=1292670843&ADTAG=CLIENT.QQ.3067_.0" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="9" >腾讯大学英语四六级考试辅导站</A>
 <DT><A HREF="http://www.kekenet.com/sitemap/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="10" >网站地图-可可英语</A>
 <DT><A HREF="http://www.hjenglish.com/new/p141816/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="11" >沪江英语六级备考精华汇总_新沪江英语网_英语学习门户</A>
 <DT><A HREF="http://www.examda.com/cet6/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="12" >英语六级考试网(cet6):2010年12月英语六级成绩查询时间-英语六级考试时间-英语六级报名时间-英语六级考试试题答案-英语六级真题-英语六级考试答案-考试大</A>
 <DT><A HREF="http://bbs.koolearn.com/f_1283.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="13" >VOA CNN BBC NPR_学习论坛_新东方在线</A>
 <DT><A HREF="http://ks.examda.com/139/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="14" >大学英语六级考试试题及答案-cet6考试试题-英语六级考试真题-英语六级试题及答案-考试大英语六级考试系统 考试大在线考试中心</A>
 <DT><A HREF="http://www.hhxue.com/cet6/fudao/200912/112206.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="15" >2009年12月19日大学英语六级听力原文-英语六级考试(CET6)-好好学网</A>
 <DT><A HREF="http://www.cet6.net/read.php?242" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="16" >英语六级真题下载[1990-2009年12月] - 英语六级考试网(CET6) - 英语六级答案,英语六级听力下载,英语六级真题下载,英语六级词汇下载和CET6成绩查询</A>
 <DT><A HREF="http://www.sparke.cn/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="17" >星火英语网-英语学习_英语学习论坛_英语学习社区_英语考试_四六级考试_考研考试_英语试题</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="11">论文查找</H3>
<DL><p>
 <DT><A HREF="http://www.cnki.net/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >中国知网</A>
 <DT><A HREF="http://www.wanfangdata.com.cn/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >万方数据知识服务平台</A>
 <DT><A HREF="http://www.cqvip.com/asp/vipsearch.asp" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >中文科技期刊数据库--维普资讯网</A>
 <DT><A HREF="http://scholar.google.com/scholar?q=verilog&hl=zh-CN&newwindow=1" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >verilog - Google 学术搜索</A>
 <DT><A HREF="http://www.ei.org.cn/twice/coverage.jsp" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >Ei中国</A>
 <DT><A HREF="http://fjic.xmu.edu.cn/asid/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="6" >ASID</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="12">matlab</H3>
<DL><p>
 <DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="7">论文</H3>
 <DL><p>
  <DT><A HREF="http://wenku.baidu.com/view/997298d376eeaeaad1f3308a.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >基于Matlab的数字图像边缘检测算法研究_百度文库</A>
  <DT><A HREF="http://www.baidu.com/s?wd=%BE%AD%B5%E4%CD%BC%CF%F1%B1%DF%D4%B5%BC%EC%B2%E2%28%C4%A3%B0%E5%B7%A8%CB%BC%CF%EB%29&n=2" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >百度</A>
  <DT><A HREF="http://www.zdh1909.com/html/matlab/14707_3.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >MATLAB提供的快速傅立叶变换函数_matlab</A>
  <DT><A HREF="http://wenku.baidu.com/view/bbfa7fdaa58da0116c1749d3.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >matlab与ModelSim的联合使用_百度文库</A>
  <DT><A HREF="http://wenku.baidu.com/view/c949b52b3169a4517723a312.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >数字图像与Matlab_百度文库</A>
  <DT><A HREF="http://download.ednchina.com/Detail/121499/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="6" >数字图像处理的matlab实现(一)——直方图均衡</A>
  <DT><A HREF="http://www.cnblogs.com/oomusou/archive/2008/08/25/verilog_sobel_edge_detector.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="7" >(原創) 如何實現Real Time的Sobel Edge Detector_ (SOC) (Verilog) (Image Processing) (DE2-70) (TRDB-D5M) (TRDB-LTM) - 真 OO无双 之 真乱舞书 - 博客园</A>
  <DT><A HREF="http://5460521xp.blog.163.com/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="8" >绛珠草的天空 - 流星萍儿 - 网易博客</A>
 </DL><p>
 <DT><A HREF="http://www.chinavib.com/viewthread.php?tid=49587&highlight=matlab%2B%C3%FC%C1%EE" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >Matlab中一些常用的命令(转) - Matlab讨论区 - 中国振动联盟 - Powered by Discuz!</A>
 <DT><A HREF="http://hi.baidu.com/guole205/blog/item/c349f833acb166fd1b4cff41.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >matlab符号运算13_愿此生无悔_百度空间</A>
 <DT><A HREF="http://www.docin.com/p-34955325.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >Matlab教程之M文件和函数句柄 - docin.com豆丁网</A>
 <DT><A HREF="http://www.ilovematlab.cn/forum-189-1.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >基于模型设计 Simulink与FPGA_DSP - Matlab中文论坛 _ Simulink 论坛 _ Matlab下载 _ Matlab资料 _ Matlab视频 _ Matlab图像处理 _ Matlab神经网络 _ Matlab数学运算 - Powered by Discuz!</A>
 <DT><A HREF="http://www.openhw.org/cbhust/blog/07-12/77123_7f83e.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >MATLAB和Modelsim的联合仿真 来自 cbhust的博客-与非网博客</A>
 <DT><A HREF="http://apps.hi.baidu.com/share/detail/15635899" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="6" >转贴:matlab数据类型和转换 百度空间_应用平台</A>
 <DT><A HREF="http://wenda.tianya.cn/wenda/thread?tid=65996a202fdc9dfc&hl=QQ" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="8" >matlab仿真有哪些步骤 -天涯问答</A>
 <DT><A HREF="http://blog.sina.com.cn/s/blog_4d4afb6d0100nq5b.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="9" >[转载]Simulink利用Scope输出及绘制仿真波形技巧_上海探戈_新浪博客</A>
 <DT><A HREF="http://ishare.iask.sina.com.cn/f/11135290.html?from=like" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="10" >如何理解时序图.pdf - 免费高速下载 - 共享资料</A>
 <DT><A HREF="http://wenku.baidu.com/view/d6753835eefdc8d376ee3255.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="11" >matlab基本用法小结_百度文库</A>
 <DT><A HREF="http://www.ilovematlab.cn/thread-48591-1-1.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="12" >matlab小波分解与重构(5_3小波变换) - Matlab 图像处理 视频流处理 - Matlab中文论坛 _ Simulink 论坛 _ Matlab下载 _ Matlab资料 _ Matlab视频 _ Matlab图像处理 _ Matlab神经网络 _ Matlab数学运算 - Powered by Discuz!</A>
 <DT><A HREF="http://hi.baidu.com/huangwenzhixin/blog/item/e30ed34a449af02b09f7ef10.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="13" >matlab小波分解与重构(转)续1_雯栀心_百度空间</A>
 <DT><A HREF="http://blog.163.com/legend_1900.popo/blog/#m=0" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="14" >legend_1900的日志 - 网易博客</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="13">智能电表</H3>
<DL><p>
 <DT><A HREF="http://www.techen.cn/Html/Procn173.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >三相四线智能电能表三相三线智能电能_</A>
 <DT><A HREF="http://fldmcn.blog.163.com/blog/static/973391512008101311420358/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >关于电能表的若干概念 - fldmcn的日志 - 网易博客</A>
 <DT><A HREF="http://www.renesas-mcu.com/read.php?tid=1174" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >瑞萨单片机入门教程汇总(2010.5.21更新)_官方活动及新闻-瑞萨单片机论坛 - Powered by phpwind</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="14">ADE7858</H3>
<DL><p>
 <DT><A HREF="http://www.icqoso.com/data-APHCAL0.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >APHCAL0资料_应用_价格_参数,上酷搜网</A>
 <DT><A HREF="http://www.eeworld.com.cn/designarticles/measure/200606/4357.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >CS5460A及其在电子式电能表中的应用-应用-电子工程世界网</A>
 <DT><A HREF="http://hi.baidu.com/very_knight/blog/category/c%D3%EF%D1%D4%CB%E3%B7%A8%B3%CC%D0%F2" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >骑士电子_博客_c语言算法程序_百度空间</A>
 <DT><A HREF="http://wenku.baidu.com/view/a10379fe910ef12d2af9e784.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >电力系统潮流计算C语言程序及说明_百度文库</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="15">book</H3>
<DL><p>
 <DT><A HREF="http://www.21dianyuan.com/bbs/23906.html#buttom" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >图灵电子与电气工程丛书(共48本-已上传完毕)--电源论坛-世纪电源网</A>
 <DT><A HREF="http://ishare.iask.sina.com.cn/f/10099482.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >光影魔术手教程电子书.exe - 免费高速下载 - 共享资料</A>
 <DT><A HREF="http://genial.ycool.com/index8.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >山城棒棒儿的MATLAB&FPGA世界 - 歪酷博客 Ycool Blog</A>
 <DT><A HREF="http://csuhuadong.blog.163.com/blog/static/21582748201082752210651/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >通过文件读写方式实现Matlab和Modelsim的联合仿真(转) - 别再迟疑的日志 - 网易博客</A>
 <DT><A HREF="http://blog.163.com/verilog_007/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >verilog_007的博客 - 狗狗爱骨头 - 网易博客</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="16">Cyclone</H3>
<DL><p>
 <DT><A HREF="http://cn.ic-on-line.cn/iol_EP1C4/datasheet_list/EP1C4.htm" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >EP1C4 Electronics 参数 封装 Datasheet Pdf IC-ON-LINE电子技术资料中文网站</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="17">我投的期刊</H3>
<DL><p>
 <DT><A HREF="http://www.arocmag.com/ch/first_menu.aspx?parent_id=20060511000000002" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >欢迎访问《计算机应用研究》网站!</A>
 <DT><A HREF="http://www.hdxb.hqu.edu.cn/index.html" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >华侨大学学报(自然科学版)</A>
 <DT><A HREF="http://jeit.ie.ac.cn/CN/volumn/home.shtml" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >电子与信息学报</A>
 <DT><A HREF="http://jeit.ie.ac.cn/journalx_jeit/author/Author.action" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="4" >电子与信息学报--作者中心</A>
 <DT><A HREF="http://www.arocmag.com/ch/author/main.aspx" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="5" >张丽红《计算机应用研究》网站!</A>
 <DT><A HREF="http://www.chinaaet.com/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="6" >面向电子工程界的专业信息服务与交易平台 - ChinaAET电子技术应用网</A>
 <DT><A HREF="http://www.dianzikeji.org/CN/column/column106.shtml" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="7" >电子科技、《电子科技》杂志、《电子科技》编辑部</A>
</DL><p>
<DT><H3 FOLDED ADD_DATE="1299977302" FAV_POS="18">DSP论坛</H3>
<DL><p>
 <DT><A HREF="http://www.edadiy.cn/Soft/Search.asp?Field=SoftName&ClassID=&keyword=CCS3.3&Submit=+%CB%D1%CB%F7+" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="1" >[航科电子][EDA技术交流]----搜索结果</A>
 <DT><A HREF="http://jinsuo2007.blog.163.com/blog/static/19227995201081810369800/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="2" >CCS 3.3 使用[转] - jinsuo2007的日志 - 网易博客</A>
 <DT><A HREF="http://dl.21ic.com/search/CCS%203.3/" ADD_DATE="1299977302" LAST_VISIT="1299977302" LAST_MODIFIED="1299977302" LOVEFAV="0" FAV_POS="3" >CCS 3.3的相关文档推荐- 第1页 - 21ic文档资源 电子资料下载站</A>
</DL><p>
</DL><p>

转载于:https://www.cnblogs.com/zlh840/archive/2011/03/13/1982559.html

[笔录]博客及源程序 出处相关推荐

  1. 如何转载别人优秀的博客----一定要标注原创出处

    如何转载别人的博客 概述 我们有时候没有时间去写原创博客,但是又看到了一篇很不错的文章想收纳到自己的博客中怎么办呢?我们可以将别人的博客转载到自己的博客中. 注意事项 尊重原创的劳动成果,转载之前注意 ...

  2. 博客园在微信内置浏览器打开时添加微信赞赏码功能

    我的博客开通了赞赏,看右侧悬浮[打赏],很容易弄,文末有链接. 另外,在微信中打开时,博客正文底部增加微信赞赏码,在微信内置浏览器打开时,长按图片识别,直接可以赞赏. 这本人自己摸索的,未参考任何博文 ...

  3. 如何写一篇好的技术博客

    在工作过程中,发现对很多东西都一知半解,不是很透澈,到头来很容易模糊,如果有一篇好的技术博客予以总结,一来即使忘记了,回国头来再看,仍然能 够从自己的思路中恢复:二来总结一下,还会发现一些潜在问题:三 ...

  4. 如何为你的博客文章自动添加版权信息?

    转自:http://www.chinaz.com/web/2016/0616/541282.shtml https://www.feifanblog.com/ 好长时间没有分享关于网络技术的文章了,昨 ...

  5. 用CSS在博客园底部加上蒲公英动态效果的实现方法

    在做网站的时候我们经常想要实现一些动态效果来为网站增添一点活力, 而通常情况下,实现动态效果都需要用到JS代码.JS能够实现比较复杂且炫酷的动态效果, 但通常情况下JS会拖慢网站的响应速度且不利于搜索 ...

  6. 如何快速转载别人的博客文章

    试一下嘤嘤嘤 背景 我感觉学习转载的都是初学者,比如我..习惯可能就是从QQ空间里传过来的吧,看了一篇好文章,收藏之后还想分享一下,让其他人也能看到共同学习.本次也是我的第一篇csdn文章,目前小白一 ...

  7. php cms拥有博客功能,20款最为知名的开源PHP CMS

    原文来自慧都控件网:http://www.evget.com/article/2014/2/25/20566.html 不是所有Web开发者都有精力和能力去开发一个新的CMS,而且最重要的是,开发新C ...

  8. 如何快速部署国人开源的 Java 博客系统 Tale

    喜欢我们的文章?!欢迎大家关注腾讯云技术社区-简书主页哦~ 文末有彩蛋,不要错过! 除了闷头专研技术之外,程序员还需要不断地写作进行技术积累,写博客是其中最重要的方式之一.商业博客平台不少,但是更符合 ...

  9. Ubuntu18.04安装g2o,及问题解决_HyperZhu的博客-CSDN博客_ubuntu18.04安装g2o

    Ubuntu18.04安装g2o,及问题解决_HyperZhu的博客-CSDN博客_ubuntu18.04安装g2o sudo apt-get install cmake libeigen3-dev ...

  10. 要上进总会有时间的,要放松也总会有理由的——记录2年出版2本书带给我的改变,同时分享写书和写博客的技巧...

    2年前,我其实是很开心的,人在外企,加班不多,晚上下班后就躺在沙发上电视或刷连续剧.周末除了出去讲课挣外快,一般也时玩游戏为主,经常会一个下午加晚上,先玩游戏再下棋再看片再听歌.结果发现我的能力脱节了 ...

最新文章

  1. 单元测试框架怎么搭?快来看看新版Junit5的这些神奇之处吧!
  2. Matlab的size()函数
  3. java path设置错误_linux下环境变量PATH设置错误的补救
  4. 关于null和undefined
  5. 覃超-算法训练营 学习方法分享[1] 如何精通一个领域
  6. php入门05-会话控制(session与cookie)
  7. 在matlab中怎么录制音频_怎么录制淘宝页面中的视频?简单方法,轻松搞定
  8. 用友 无法正确解析服务器,用友T3软件登陆软件时提示:“可能无法正确解析服务器名称或者相应的端口被禁用,请尝试输入服务器的IP地址”?...
  9. android地图方位角_Android获取经纬度、计算距离、方位角
  10. python sqlite3 怎么把字典存入数据库中.
  11. 主子表对应的字段_Power Pivot针对表筛选的函数及差异
  12. 【Android】12.0 第12章 Intent及其过滤器—本章示例主界面
  13. 这几天我的生活就是这样的
  14. 关于dev c++z中文乱码的问题
  15. 计算机考研复试面试问题总结——数据结构篇(含答案)
  16. 线性代数————思维导图(上岸必备)(相似理论)
  17. Java日期计算总结(包含工作日排除节假日)
  18. 《魔鬼搭讪学》《魔鬼约会学》读后感
  19. 电话机器人成骚扰机器?程序员可不背锅
  20. fastjson之@JSONField注解的几个的使用详细示范

热门文章

  1. 南京财经的计算机科学与技术,2021年南京财经大学计算机科学与技术(081200)考研专业目录_硕士研究生考试范围 - 学途吧...
  2. 浪潮服务器SSD盘咋查看信息,浪潮存储为你揭秘:保障SSD数据可靠性的“黑科技”...
  3. 图像算法学习 - 计算图像均方差(MES),峰值信噪比(PSNR)
  4. LNK1181 无法打开输入文件“winmm.lib”
  5. python plot画柱状图_Python绘制柱状图
  6. 数组实现-线性表/链表/串/栈的操作
  7. 在windows上配置本地域名解析,配置hosts文件
  8. 冷冻水和冷却水的区别
  9. ECCV2022 | 多任务SOTA模型!分割/深度/边界/显著图四项任务
  10. 【金融财经】金融市场一周简报(2017-10-13)