摘要:寄存器(Register)是能够寄存一组二值数据,它被广泛地应用于各类数字系统和数字计算机中。其中,1 个触发器能够储存 1 位二值代码,N 个触发器组成的寄存器组能够储存一组 N 位二值代码,而移位寄存器,就是能够对存储单元进行整体移动的一种基本单元。 

移位寄存器:

  1. 在数字电路中,移位寄存器(Shift Register)是在时钟的脉冲(上升沿)触发之下,所有数据会依次向移动一个比特(Bit);
  2. 移位寄存器也是类似一种存储器,可以存储数据,而存在里边的数据可以从低位向高位移动或从高位向低位移动。例如一个 4 位的移位寄存器,存在其中的数据为 “1100”,如果向左(即高位 MSB)移动一次,就变成 “100X”,原来的最高位的 “1” 移出,最低位的 “X” 可以是新移入的数据,也可以是 0;
  3. 由于移位寄存器中的数据可以在移位脉冲作用下依次逐位右移或者依次逐位左移,而数据既可以并行输入、并行输出、串行输入以及串行输出,也可以并行输入、串行输出、串行输入以及并行输出,因此,移位寄存器不仅可以用来寄存代码,还可以用来实现数据的串行 - 并行转换数值的运算(2 的倍乘)以及数据缓存处理(行缓存)等等;也正是因为移位寄存器如此灵活

基于 Verilog 的经典数字电路设计(14)移位寄存器相关推荐

  1. 基于 Verilog 的经典数字电路设计(12)串并转换器

    基于 Verilog 的经典数字电路设计(12)串并转换器 版权所有,新芯设计,转载文章,请注来源 引言

  2. 基于 Verilog 的经典数字电路设计(13)并串转换器

    基于 Verilog 的经典数字电路设计(13)并串转换器 版权所有,新芯设计,转载文章,请注来源 引言

  3. 基于 Verilog 的经典数字电路设计(4)编码器

    基于 Verilog 的经典数字电路设计(4)编码器 版权所有,新芯设计,转载文章,请注来源 引言

  4. 基于 Verilog 的经典数字电路设计(2)比较器

    基于 Verilog 的经典数字电路设计(2)比较器 版权所有,新芯设计,转载文章,请注来源 引言

  5. 基于 Verilog 的经典数字电路设计(1)加法器

    摘要:加法器是非常重要的,它不仅是其它复杂算术运算的基础,也是 CPU 中 ALU 的核心部件(全加器).两个二进制数之间的算术逻辑运算例如加减乘除,在数字计算机中都是化为若干步加法操作进行的,因此, ...

  6. 基于Verilog HDL的数字秒表、波形发送器等设计

    基于Verilog HDL的数字秒表设计 一.EDA 二.基于Verilog HDL的数字秒表设计 1. 用Verilog HDL设计一个数字跑表,所需引脚和功能如下所示: 2.代码示例 3. 结果: ...

  7. 基于Verilog HDL的数字时钟

    目录 一.实验目的 二.实验概述 三.实验过程 一.实验目的 1.学习相关的设计方法及原理 2.学习设计方法 二.实验概述 基于Verilog HDL设计一个时钟 三.实验过程 新建一个工程 选择芯片 ...

  8. 基于 FPGA 的高级数字电路设计(7)单口 RAM、同步 FIFO、异步 FIFO 设计

    一.单口 RAM 设计 module BRAM_PORTA( input clka, input ena, input wea, input [3:0] addra, input [15:0] din ...

  9. 基于 FPGA 的高级数字电路设计(5)基于 PE 的脉动阵列设计

            脉动阵列定义:多个相同的处理单元(简称 PE),按一定互联规则组成的网络,称为脉动阵列.脉动阵列可以是一维线形.二维矩形.二维二叉树型.三维长方体形等等.         脉动阵列特点 ...

  10. 基于Verilog使用Quartus设计数字秒表和数字时钟

    目录 一.数字秒表 1.1 新建工程 1.2 添加 Verilog 文件 1.3 添加 VWF 文件 1.4 波形仿真 二.多功能数字钟 三.总结 本文内容:使用 Quartus 基于 Verilog ...

最新文章

  1. 搬运机器人举杯贺所需的条件_机器人调试工程师的工作是怎样的
  2. 密码界“女杀手”,破译世上最安全密码系统,获 771 万奖金!
  3. 为什么TCP建立连接需要三次握手
  4. VTK:IO之ReadExodusData
  5. BZOJXXXX: [IOI2000]邮局——四边形不等式优化初探
  6. moxy json介绍_MOXy是GlassFish 4中新的默认JSON绑定提供程序
  7. 你这么喜欢敲代码,那么技术的乐趣在哪里?
  8. 再见,余!额!宝!!!
  9. canvas笔记-二次贝塞尔曲线与三次贝塞尔曲线的用法
  10. 刀片服务器虚拟化哪家好,刀片服务器TOP5 细数虚拟化时代利器
  11. 第四十七t天 how can i 坚持
  12. 【校园电子书城】测试及部署
  13. 蓝牙耳机测试软件apk_Bose Connect(蓝牙耳机控制器)
  14. 语音视频自动生成字幕功能介绍
  15. (一)android为什么需要recovery升级?
  16. d3dx9_43.dll如何修复
  17. 老婆:“给我讲讲你们程序员好笑的事情呗?”我扔给她这篇文章,她狂笑不止!
  18. 服务器sata硬盘安装系统,#####SATA、RAID、SCSI硬盘用U盘安装原版XP、2003系统######支持服务器硬盘!...
  19. [附源码]Python计算机毕业设计大学生健康管理系统的设计与实现Django(程序+LW)
  20. 【Multisim仿真】全波整流电路仿真

热门文章

  1. Open OnDemand部署安装使用手册
  2. 怎样有效整理碎片化信息,提高学习效率
  3. [已解决]VitrualBox 启动linux虚拟机后,无法访问网络解决方法
  4. html基本标记练习钱塘湖春行,《钱塘湖春行》练习题
  5. Redis-事务(集成SpringBoot工程)
  6. js之好看的鼠标点击-光标特效
  7. UPnP 体系架构和基本原理 —— UPnP 工作流程
  8. PT100恒流源电路及仿真
  9. 由加速度计解算得到姿态角
  10. 西安计算机专业大专排名及分数线,西安所有的大学名单及排名分数线(本科 专科)...