第一种,正儿八经:

带异步复位,同步使能的D触发器:

module dff_reset_en_1seg(input clk,input reset,input en,input d,output reg q);always @(posedge clk, posedge reset)beginif(reset)q <= 1'b0;else if(en)q <= d;end
endmodule

行为测试:

`timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date: 2019/01/03 10:39:16
// Design Name:
// Module Name: dff_tb
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//module dff_tb;reg reset;reg en;reg CLK;reg d;wire q;// Note: CLK must be defined as a reg when using this methodparameter PERIOD = 10;always beginCLK = 1'b0;#(PERIOD/2) CLK = 1'b1;#(PERIOD/2);endinitial beginreset = 1'b1;en = 1'b1;d = 1'b0;#60reset = 1'b0;en = 1'b0;d = 1'b1;#100;en = 1'b1;d = 1'b1;enddff_reset_en_1seg uu1(.clk(CLK), .reset(reset), .en(en),.d(d), .q(q) );  endmodule

可见,分三个阶段,复位,保持,跟随!

第二种:两段式含异步复位和同步使能的D触发器。

这个触发器没有问题,下面用常规的触发器来实现一个带有异步复位和同步使能的D触发器(练习代码,有点无聊):

`timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date: 2019/01/03 10:34:30
// Design Name:
// Module Name: dff_reset_en_1seg
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//module dff_reset_en_1seg(input clk,input reset,input en,input d,output reg q);reg r_reg,r_next;always @(posedge clk, posedge reset)beginif(reset)r_reg <= 1'b0;elser_reg <= r_next;end//next-state logicalways @*beginif(en)r_next = d;else r_next = r_reg;end//output logicalways @*q = r_reg;endmodule

这段代码用于实现同样的功能。

【 FPGA 】玩玩带有异步复位,同步使能的D触发器的两种实现方式相关推荐

  1. (47)FPGA同步复位与异步复位(异步复位同步释放)

    (47)FPGA同步复位与异步复位(异步复位同步释放) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA同步复位与异步复位(异步复位同步释放) 5)技术交流 ...

  2. (61)FPGA面试题-使用Verilog语言编写异步复位同步释放代码

    1.1 FPGA面试题-使用Verilog语言编写异步复位同步释放代码 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-使用Verilog语言编写异步复位 ...

  3. (10)Verilog HDL异步复位同步释放

    (10)Verilog HDL异步复位同步释放 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog HDL异步复位同步释放 5)结语 1.2 FPGA简介 F ...

  4. 异步复位同步释放机制-系统完美稳定

    原文地址:FPGA之家 首选我们来聊聊时序逻辑中最基础的部分D触发器的同步异步,同步复位即复位信号随系统时钟的边沿触发起作用,异步复位即复位信号不随系统时钟的边沿触发起作用,置数同理,rst_n表示低 ...

  5. verilog异步复位jk触发器_异步复位同步释放原理

    关键词:同步释放,recovery,removal 在数字IC设计中肯定会涉及到异步复位的问题,因为需要对电路进行复位操作.这种复位设计主要依靠前端设计以及工具来检查,从数字IC后端的角度上讲,只要在 ...

  6. 异步复位同步释放原理

    深度揭秘异步复位同步释放原理 文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点.点击进去后出现任何损失与社区无关. 明天就放端午小长假了,提前祝大家节日快乐.腾讯官网已经给小编公众号开通了赞赏功 ...

  7. 异步复位同步释放 打两拍

    同步复位问题:复位信号持续时间太短,在clk下没采集到就没了. 异步复位问题:释放时可能会出现亚稳态(见最后两张图). 文章目录 1. 异步复位 2. 同步复位 3. 异步复位同步释放 今天好好理一理 ...

  8. 同步复位和异步复位同步释放

    目录 同步和异步时序电路 同步复位 1.原理图 2.Verilog实现 3.TB代码 4.波形 5.PS 异步复位 1.原理图 2.Verilog实现 异步复位同步释放 1.原理图 2.Verilog ...

  9. 关于“异步复位,同步复位,异步复位同步释放”的理解

    文章目录 1. 异步复位 2. 同步复位 3. 异步复位同步释放 今天好好理一理异步复位,同步复位,以及亚稳态中的异步复位同步释放. 1. 异步复位 一般让复位信号低电平有效 复位信号不受时钟的控制, ...

  10. 三种复位方式: 同步复位、异步复位、异步复位同步释放

    一.特点:    同步复位:顾名思义,同步复位就是指复位信号只有在时钟上升沿到来时,才能有效.否则,无法完成对系统的复位工作.用Verilog描述如下:             always @ (p ...

最新文章

  1. 自动驾驶十字路口解决方案
  2. geohash vs PostGIS
  3. ProxySQL MySQL MGR8配置
  4. 男朋友出的性格测试题
  5. matlab代码转换成python_[Python]40行代码实现公式转换成图片,手把手教你从模仿到实现...
  6. 用NAnt 将StarTeam中的文件CheckOut回本地计算机
  7. java treeset 重复_TreeSet判断重复元素解析及代码示例
  8. 网关 配置内网DNS 服务器
  9. Fineui 添加打印控件
  10. Photoshop install
  11. 通过CCproxy配置内网linux服务器
  12. oracle 9i 启动监听报错误 TNS-12555: TNS:permission denied 解决
  13. 教你怎样无需微云会员满速下载文件
  14. ubuntu deepin-wine 微信之后打不开
  15. java curator_使用curator实现选举
  16. MATLAB进阶教程第一节(图形绘制)
  17. SQLServer数据库备份的使用
  18. Qt定制化安装包工具
  19. ACM MM 2020大奖项出炉!南开获最佳论文奖,西安交大获最佳学生论文奖
  20. linux 部署Nginx

热门文章

  1. python中difference_update_Python学习笔记-Python基础(二)
  2. kafka消费者报错:Consider using the new consumer by passing [bootstrap-server] instead of [zookeeper].
  3. 云计算的技术发展趋势
  4. html5 答题源码脚本,自动答题脚本教程及源码分享(无视分辨率)
  5. 有没有中文域名SSL证书?如何申请
  6. Ubuntu 搜狗输入法 关闭简繁切换快捷键
  7. STM32L476+STM32cubeMx+Freemodbus移植记录
  8. Android-手撸抖音“潜艇大挑战”,最简单的Android自定义ListView下拉刷新与上拉加载
  9. HW2021攻防演练经历碎碎念-见解
  10. 关于2022年电改政策的解读