系列文章目录

1.元件基础
2.电路设计
3.PCB设计
4.元件焊接
5.板子调试
6.程序设计
7.算法学习
8.编写exe
9.检测标准
10.项目举例
11.职业规划


文章目录

  • 前言
  • 电路设计三部曲
    • 1、电路设计第一步——先看
      • ①、看芯片datasheet
      • ②、看开发板原理图
    • 2、电路设计第二步——要练
    • 3、电路设计第三步——开干
  • 一、前级防护电路
    • 1.浪涌保护电路的原理及设计
      • ①.什么是浪涌?
      • ②.两种通常使用的浪涌保护电路
        • 第一级浪涌保护器
        • 第二级浪涌保护器
      • ③.常见的二级浪涌保护电路
      • ④.浪涌保护装置如何工作?
      • ⑤.电压浪涌的起源
      • ⑥.传播浪涌的介质
      • ⑦.IEC定义的浪涌标准
      • ⑧.如何设计浪涌保护电路
      • ⑨.压敏电阻MOV的浪涌保护器
        • MOV基本特性
        • 器件选型
        • 浪涌保护电路中压敏电阻的位置选择
    • 2、X1和X2安规电容哪种更好?
    • 3、Y1和Y2电容的区别对比
      • ①、耐电压有区别。
      • ②、脚距不一样。
      • ③、绝缘类型不太相同。
      • ④、体积大小不一样。
      • ⑤、产品的作用也不太一样。
    • 4、观察浪涌电流的思路
    • 5、RS232 485 CAN端口浪涌、脉冲保护电路
  • 二、电源
    • 阻抗--电源设计中一个非常基础的思维概念
    • 1.电源转换技术
    • 2.电源防反接电路设计
    • 3.线性稳压器(LDO)
      • ①.线性稳压器(LDO)是什么?
      • ②.线性稳压器(LDO)工作原理:
      • ③.主要特点
      • ④.内部结构
      • ⑤.典型案例
        • 主要参数
        • 输出电压
        • 输入电压
        • 热阻
        • 基准电压
        • 压降
        • LDO效率问题
        • 最大电流
        • 输入电容
        • 纹波抑制
        • 选型实例
      • ⑥.LDO,QLDO,VLDO设计原理
      • ⑦.拓展问题
        • 1、两个LDO并联问题
        • 2、LDO的基础特性——热关断
        • 3、比较两种模拟电子芯片散热的方法
    • 3.直流开关电源(DC/DC)
      • ①.boost/buck电源工作原理
      • ②.同步整流与异步整流
      • ③、开关电源中,为什么不是频率越高越好?
      • ④、DCDC设计指南
      • ⑤、Buck降压电路设计课程
    • 4、交流开关电源(AC/DC)
      • ①、开关电源一定要用变压器吗?
      • ②、AC/DC开关电源常用主电路结构
        • 单端反激式开关电源
        • 单端正激式开关电源
        • 半桥式开关电源
        • 全桥式开关电源
        • 推挽式开关电源
      • ③、开关电源的控制方式
        • 电压型PWM控制
        • 电流型PWM控制
        • MCU、ASIC或DSP控制
      • ④、详解开关电源中各个电阻计算
    • 5.数字电源VDD/GND和模拟电源VDDA/GNDA
    • 6.DC24V转12V有哪些芯片可以实现?
    • 7、板级电源设计的三种方法
    • 8、横流源
      • ①、为什么用恒流电源驱动LED
  • 三、电池
    • 1.锂离子电池特性及充电电路设计
      • ①.锂电池种类
      • ②.锂电池放电曲线及与温度关系
      • ③.锂电池充电方法
      • ④.为什么锂电池充电需要专用的芯片?
      • ⑤.锂电池使用误区
      • ⑥.常见的充电方案
        • 线性充电
        • 开关式充电
      • ⑦.NTC--温度保护
      • ⑧.充电电流与温度
        • 9.USB充电系统关键考虑
        • 10.充电路径管理
        • 11.(DPM)动态功率管理/输入限流路径管理
        • 12.理想二极管帮助提高电池利用率
        • 13.输入过冲抑制(ADI文档AN-88)
  • 四、电机
    • 1、BLDC电机
      • ①、详解三相直流无刷电机驱动器硬件原理图
      • ②、BLDC电机驱动策略
  • 五、传感器
    • 1、红外/光电对管
    • 2、温度传感器
    • 3、激光二极管
  • 六、通讯端口
    • 1、USB2.0通信原理及电路设计
    • 2、RS232 保护方案
  • 七、其他外设
    • 1、蜂鸣器驱动电路
    • 2、触摸按键
  • 后记
    • 1、电路设计一定要考虑的异常情况:电流倒灌、热插拔、过流保护等
    • 2、地的标志符号
    • 3、如何选择一款更合适的功率电阻?
    • 4、各类元器件降额使用经验

前言


送给大学毕业后找不到奋斗方向的你(每周不定时更新)

【牛客网】构建从学习到职业的良性生态圈
中国计算机技术职业资格网
上海市工程系列计算机专业中级专业技术职务任职资格评审


硬件工程师最值钱的地方是在于懂硬件原理,懂得电路分析,模电数电原理,电磁场理论,而不是会使用画图软件。

电路设计三部曲

1、电路设计第一步——先看

①、看芯片datasheet

为什么第一步是看芯片datasheet,这也很好说明。电路设计是众多元件组成的一个庞大的电路,而这么多元件,不可能随便挑选的,如何选择我们需要的元件,这就需要我们去阅读芯片datasheet。当然我们也不是茫无目的的看,比如我们要设计电源电路,就看电源类芯片手册等。

点击此链接,以LM317为例,看看能不能对这个元器件更深入了解

②、看开发板原理图

比如正点原子、野火、硬石等开发板配套原理图。
看他们是怎么设计电路的,模块与模块之间怎么布局布线
下图为实例,看个样式就行




2、电路设计第二步——要练

1、用电路仿真软件练习设计电路,如,Multisim(模拟电路仿真),Proteus(单片机等数字电路仿真)或者嘉立创EDA免费仿真(用仿真软件时要注意一点:仿真软件的结果一定是对的,与现实不符只能说明你的模型没有建好)

2、练习撰写电路设计项目计划书(包含电路框图,电路实现功能,与软件机械之间的事宜交代等)
3、练习自己的洞察力,在设计电路的同时,试着找出可以写专利的点。

3、电路设计第三步——开干

选款电路设计软件如AD、Pads、Allegro等。
1、PCB Layout软件分析对比(AD、Pads、Allegro)
入门建议用AD
2、下方视频链接

【官方指南配套视频】Altium Designer 19 基础入门PCB设计教程 AD19 AD20通用| PCB Layout

三部曲走完,下面就是各个模块电路设计了,按需要看,全看太累

一、前级防护电路

1.浪涌保护电路的原理及设计

出处:知乎
浪涌保护电路其实也是我们通常所说的浪涌保护器,也叫防雷器。是一种为各种用电设备、仪器仪表、通信电路提供安全防护的设备或电路。用于吸收交流电网间的浪涌或尖峰电压,确保它所保护的设备或电路不至于损坏。
浪涌保护器能够搞定上千伏的电压浪涌或尖峰,当然这要取决于所选浪涌保护器的参数规格。也有专用于几百伏的浪涌保护器,要看用户的使用场景。浪涌保护器能在瞬间承受很高的电压尖峰,但尖峰电压持续时间不能太长,否则保护器就会由于吸收能量过大而发热烧毁。下面请允许我慢慢道来。

①.什么是浪涌?

浪涌是一种瞬变干扰,在某种特定条件下在电网上造成瞬间电压超出额定正常电压的范围,通常这个瞬变不会持续太长的时间,但有可能幅度相当高。有可能是在仅仅的百万分之一秒内的瞬间突高,比如打雷、或者断开电感负载、或者接通大型负载的一瞬间都会对电网产生很大的冲击。在大多数情况下,如果连接在电网上的设备或电路没有浪涌保护措施,很容易器件就会损坏,损坏的程度会跟器件的耐压等级有关系。

浪涌不光是存在于电网的环境里,在普通的感性电路中浪涌也是无处不在的,下图能很好的阐述为什么感性电路容易产生浪涌电压。

在正常的工作状态下,测试点test point处的电压是维持在500V稳定状态,但如果开关管Q突然断开,由于电感电流不能突变,反向电动势效应,则在test point处就会产生一个很高的电压浪涌。

浪涌产生的原因比较多,比如重型设备、短路、电源切换或大型发动机都可能引发电路中产生浪涌。总结而言,供电系统浪涌产生的原因分为外部和内部两种。外部原因主要是雷电,雷电引发电涌过电压,内部原因则主要在于电气设备启停和故障等。

②.两种通常使用的浪涌保护电路

第一级浪涌保护器

第一级浪涌保护装置通常安装安装房屋或建筑物的入口处,它将保护着从入口接线处之后的所有设备免遭浪涌的迫害,通常第一级浪涌保护器的容量及体积都非常大,并且造价也很贵,但它却是必不可少的。

第二级浪涌保护器

第二级浪涌保护器在容量上没有第一级那么大,吸收能量也比较小,但它很轻便,通常安装在用电设备的接入点处,例如插座里,甚至是集成在用电设备的电源板前端,为设备提供二次保护能力。
下图是浪涌保护装置安装的简单示意图:

③.常见的二级浪涌保护电路

对于很多人来讲,对二级浪涌保护电路知之甚少,因为其大多都是集成在电源板上的,所谓的电源板往往是很多用电设备的输入最前端,通常是AC-AC、AC-DC电路,它也是直接插上插座的的电路,设计在电源板上的防雷电路,最重要的作用就是在发生浪涌时能及时做出保护,如切断电路或者吸收浪涌电压、电流。
另一种二级浪涌保护电路,就比如说是UPS(不间断电源),一些复杂的UPS电源都会内置浪涌保护电路,它和普通电源板上的浪涌保护器的功能是一样的。

④.浪涌保护装置如何工作?

有一种浪涌保护器是在当浪涌电压发生时,它将及时切断供电电源。这种浪涌保护器是非常智能、复杂,当然也是比较贵的,一般都很少用。这类浪涌保护器一般由电压传感器、控制器和锁存器组成。电压传感器主要是监测电网电压是否有浪涌波动,控制器读取电压传感器的浪涌电压信号,并在判断为浪涌信号时,及时控制锁存器,锁存器作为执行机构控制电路的通断。
还有另一种浪涌保护器电路,它并不会在发生浪涌时去切断电路,但它会嵌位浪涌电压,并吸收浪涌能量。这种通常是内置于电路板内,比如开关电源电路都会有这类的浪涌保护电路。电路一般如下图所示:

浪涌保护器1,跨界在火线和零线之间,也就是差模抑制电路。而浪涌保护器2、3是分别跨接火线对大地和零线对大地,也就是共模抑制。差模浪涌器是用于嵌位和吸收火线和零线之间的浪涌电压,同样的道理共模浪涌器是用于嵌位相线对大地的浪涌电压。通常对要求不高的浪涌标准,安装浪涌保护器1足矣,但有些要求高的场合就得加入共模浪涌保护了。

⑤.电压浪涌的起源

有很多因素都会产生浪涌电压,一般是由于:
1.雷击
2.电容充放电
3.谐振电路
4.电感开关电路
5.电机驱动干扰
电网上的浪涌电压,可以说是无处不在了。因此,电路里设计浪涌保护器是相当必要的。

⑥.传播浪涌的介质

只有有合适的传播介质,浪涌电压才有机会摧毁有点设备。
电力线-电力线是传播浪涌的最主要的、最直接的介质,因为几乎所有的用电设备都是通过电力线进行供电,电力线配电网无处不在。
无线电波-其实入口主要是天线,天线容易接收无线浪涌或雷击,从而在瞬间击穿用电设备。当闪电击中天线时,它会穿透射频接收器。
交流发电机-在汽车电子领域,电压浪涌也会被重点定义,往往在交流发电机出现复杂波动时,就会产生很大的浪涌电压。
感性电路-当电感两头电压突变往往就会产生浪涌电压。

⑦.IEC定义的浪涌标准

IEC-61000-4-5定义了交流电线的浪涌电压标准。下表是详细罗列了浪涌电压的种类和电压等级。

从这个标准可以看到,class 4的最高的浪涌电压是4KV,IEC-61000-4-5所定义的浪涌脉冲如下图所示,一般上升时间为1.2微秒,整个脉冲周期约为50微秒。


IEC-61000-4-5同样也定义了短路时的浪涌电流波形,浪涌电流上升时间为8微秒,整个脉冲周期为20微秒,如下图所示:

下表是不同等级的浪涌电流或短路电流与浪涌电压之间的对应表,在最糟糕条件下浪涌电流可以达到2000A:

问题来了,在IEC-61000-4-5标准里是如何定义电路的浪涌电流的呢?后来我想想,它并不等同于简单的把火线与零线短路。而是当火线零线间产生浪涌电流时,浪涌保护电路就开始对浪涌电压进行嵌位到正常电压水平,这时就会浪涌保护回路就会产生浪涌电流,我们也称之为短路电流。如下图描述所示:

⑧.如何设计浪涌保护电路

设计一个浪涌保护电路并不是很难。实际上设计一个内置式的浪涌保护电路,最简单的方式只需要一个元器件,那就是MOV压敏电阻或是一个瞬态二极管TVS。如下图所示,浪涌保护器1-3都可以是压敏电阻MOV或者TVS。

有时候,只需要在交流电火线零线之间并连一个MOV压敏电阻就可以符合IEC标准了。很多应用场合,就同时需要在零火线对大地间加浪涌保护电路才可能达到更高的浪涌标准的要求,比如要求要高于4KV。

⑨.压敏电阻MOV的浪涌保护器

MOV基本特性

1.MOV全称Metal oxide varistor,金属氧化物电阻,它的电阻值会根据电阻两端的电压改变而改变。通常应用于交流电网间,处理浪涌电压。
2.MOV是基于电压的一种特殊器件。
3.MOV工作时,它的特性有点类似于二极管,非线性、不适应欧姆定律,但其电压电流特性是双向的,而二极管是单向的。
4.但它更像一个双向的TVS二极管。
5.当压敏电阻两端电压没有达到嵌位电压时,他是处于开路状态。
下图是MOV压敏电阻的电压-电流特性曲线。如你所见,在图中的第一三象限里,器件基本是处于恒压状态,同时也证明它是一颗双向器件。ZnO和SiC分别是氧化锌和碳化硅,它们是制作MOV压敏电阻最常见的两种物质。

器件选型

对于从90Vac-264Vac全球通用电压来说,通常MOV额定电压应该选择为300Vrms。300V指的是有效值,也就是MOV压敏电阻能长时间在这个电压下稳定工作。要注意的是,它并不是指嵌位电压。我们以Littel Fuse品牌的TMOV14RP300ML2B7为例进行说明(这个品牌国外用的比较多,国内用的比较少),它的交流额定值为300Vac,但规格书上可以看得到,它的嵌位电压在50A峰值电流条件下是775V。
下表是MOV压敏电阻在不同的浪涌脉冲持续时间内,能承受的电流峰值。根据压敏电阻选型表可知,在2000A/20微秒的浪涌尖峰情况下,这个型号的压敏电阻可以承受15次。

虽然在规格书里,一般都会指明压敏电阻的钳位电压,如TMOV14RP300ML2B7是775V,但是这个电压并不代表它适用于2000A时的电流。下图中两条红色线条交叉的地方,可以看到在2000A时它的钳位电压是多少,这是钳位电压已经超过了1000V。通过这个曲线就可以基于设计要求来选择合适压敏电阻型号了。

浪涌保护电路中压敏电阻的位置选择

压敏电阻作为浪涌保护器中很关键的器件,在设计时务必确保它尽量靠近输入端的保险管,如下图所示。这样才能确保在有浪涌电流发生时,保险管能及时烧断,确保后面电路处于开路状态,避免由浪涌电流引起更大的损坏,甚至起火。

2、X1和X2安规电容哪种更好?

提起X安规电容,它其实有三种类型,分别是X1、X2、X3安规电容,其中X3安规电容虽然有这种类别,但使用量极少,市面上基本上没有厂家真正生产这种安规电容,最常用的还是X1和X2安规电容,问题来了,X1和X2安规电容哪一种才更好呢?

X1和X2安规电容作用是完全一样的

不管是X1电容还是X2电容,它的作用其实是完全一样的,x电容用在电源滤波中,起到电源滤波作用,对差模干扰起滤波作用,一般用在零线与火线之间。

两种电容器的作用没有任何区别,都适用于跨线、抑制突波、各种交直流场合。

X1和X2安规电容有什么区别?

X1和X2安规电容的耐高压大小是有区别的,X1耐高压大于2.5 kV,小于等于4 kV,X2耐高压小于等于2.5 kV,也就是说X1安规电容的耐压更高,性能更好。

X1和X2安规电容哪种更好?

如果仅从性能上来讲,肯定是X1安规电容更好。不过在实际使用时,X1安规电容使用量极少,绝大多数场合都是使用X2安规电容。

从性价角度考虑,X2电容的价格相对要更便宜一些,而且性能也足够使用,这也就导致现在X2安规电容使用量极大,而X1安规电容只有在部分电路中能用到,使用量极少。

需要注意的细节是:线路设计上X1电容可代替X2电容,而X2电容却不可应用在X1级线路,因X1级突波电波电压较高。此类电容器使用于开关电源等各种电路中抑制开关瞬间的浪涌大电流(如:打字机、计算器、电脑显示器和家用电器中的搅拌器、电风扇、咖啡研磨机、音响、电视电路等)。

3、Y1和Y2电容的区别对比

原文出处

Y电容有很多种类,其中有Y1, Y2, Y3,Y4,其中Y1、Y2电容使用的频率最高。
Y1和Y2电容并不完全一样,它们到底有什么样的区别呢?

①、耐电压有区别。

Y1额定电压≤500VAC,峰值电压等于8 kV,实验电压4000VAC。

Y2额定电压≥150VAC,≤500VAC,峰值电压等于5 kV,实验电压1500VAC,

②、脚距不一样。

Y1电容的常规脚距是10mm。

Y2电容的常规脚距是7.5mm。

③、绝缘类型不太相同。

Y1属于双重绝缘或者说加强绝缘。

Y2属于基本绝缘或附加绝缘。

④、体积大小不一样。

在相同体积的情况下,Y1电容体积要比Y2电容适当大一点。

⑤、产品的作用也不太一样。

Y1电容用于跨接一二次侧。

Y2电容用于跨接一次侧对保护大地即FG线;FG即大地。

安规Y电容的表示方法,则基本上差不多,常见的有:

Y1 102M400VAC

Y1 222M400VAC

Y1 472M400VAC

Y2 102M300VAC

Y2 222M300VAC

Y2 472M300VAC

4、观察浪涌电流的思路

观察浪涌电流的思路

5、RS232 485 CAN端口浪涌、脉冲保护电路

RS232 485 CAN端口浪涌、脉冲保护电路

二、电源

阻抗–电源设计中一个非常基础的思维概念

此节处处用到MOS管,故必须熟练掌握MOS管。

1.电源转换技术

1、可以用电阻进行电压转换;
2、可以用电感进行电压转换;
3、也可用晶体进行电压转换;
4、当然了变压器降压也可以进行电压转换;
方法有多种,这要看具体在什么电路中,具体情况具体分析,综合考虑采取一种经济实用的方法。今天我们讲的是用IC进行电压转换;

2.电源防反接电路设计

电源防反接电路设计

3.线性稳压器(LDO)

①.线性稳压器(LDO)是什么?

原文出处
线性稳压器(LDO)是一种低压差线性稳压器,这是相对于传统的线性稳压器而言的,传统的线性稳压器都要求输入电压比输出电压至少高出2V~3V,否则就不能工作;而LDO则只要求200mV左右即可。
线性电源(LDO)的管子是工作在放大区的,处于放大状态。线性电源LDO只能降压,不能升压。
开关电源(DC-DC)的管子是工作在饱和区、截止区的,处于开关状态。开关电源(DC-DC)既可以升压也可以降压。
LDO的功率损耗主要消耗再开关管上,为开关管的最大耗散功率损耗,所以效率极低。

②.线性稳压器(LDO)工作原理:

线性稳压器(LDO)内部电路一般包括:分压取样电路、基准电压、误差放大电路、晶体管(MOS管)调整电路四部分。
LDO都有电压负反馈回路,以保持输出电压的稳定,而且误差放大电路采用分离元器件的较多,一般很少使用集成运放。

③.主要特点

原文出处
线性稳压最为突出的优点主要有成本低,噪声低,体积小。由于线性稳压结构简单,生产相对容易,因此其生产成本可以很低,同时其需要的外围器件也很少,一般只需要在输入端和输出端各有一个滤波电容即可,既降低了电路设计和生产成本,也大幅减小了其占用的体积,市场上现在有很多1.3mm×2.9mm左右大小的三端稳压器。相较之下,如果使用开关电源设计电源,则可能其使用的电感体积已经超过三端线性稳压体积。同时,从其命名就可以看出,“线性”稳压器输出是线性的,这就能使其输出噪声极低,一般可以达到微伏级别,而类似的如果使用开关电源,由于半导体管不断开关,一般会引入毫伏级别、与工作频率同频率的纹波。

④.内部结构

上图展示了三端稳压器的基本拓扑结构。

由于作者使用的仿真软件multisim没有自带的可调电源模型,因此上图左侧用简单的电阻分压和VCVS构造输出可调的直流电源。

上图右侧为一个目标输出3.3V的线性稳压器。输入电压经过由运放U1控制的稳压调整三极管Q1后输出,R1和R2为对输出采样的反馈电阻,R3和稳压管D1构成了输出基准电压的电路。假设运放同相端输入的基准电压为1V,根据运放“虚短”和“虚断”的特性可知此时反相端也为1V,该电压应与输出电压经过分压后的电压相等,从而得到等式R1/(R1+R2)×Vout=VRef,即

Vout=VRef×(R1+R2)/R1 (1)

代入对应电阻阻值可计算出此时输出电压为3.4V。从这里也能看出线性稳压“线性”的含义,即输出电压与输入电压线性相关。

作者对模型进行了仿真,发现实际输出3.31V而非3.4V,选用的三极管和运放皆为理想器件,经检查发现稳压管实际输出为972mV而非1V,将Vref=0.972V代入式(1)可计算出输出电压应为3.3048V。

实际应用中输入电压的变化会改变稳压管的工作电流,由于实际稳压管不可能是斜率趋向于无穷的理想器件(即不论工作电流大小,输出电压都不变),其将会导致基准电压有细微的变化,从而影响输出,但一般影响很小可以忽略不计。如果要设计高精度电源,从式(1)可以看出降低输入电压与输出电压的比值可以消减该影响。

前文说到线性稳压的输出能力相对较弱,很大程度上是因为受到三极管可承受的最大电流限制。由于三极管自身特性,一般内阻较大,如果输入输出电压比过大,则电源效率会很低,元件发热严重,一般可近似估算线性稳压效率

η%=Vout/Vin (2)

且三极管有固定压降,线性稳压的datasheet中一般会给出一个参数说明输入输出压降VDropout(也可以理解为输入至少要比输出高VDropout,否则无法达到额定输出)。

上图为仿真得到的输入输出电压关系,红色曲线为输入电压,黄色曲线为输出曲线。可以看出,输出电压始终小于等于输入电压,三端线性稳压为降压元件,当输入达到3.4V左右时,输出才达到目标的3.3V,输入小于3.4V时,输出与输入电压近似相等。当然,作者在这里使用了理想元件仿真,实际中输入电压小于临界值(Vout+VDropout)时,输出近似等于(Vin-VDropout),因此一般需要输入电压比输出高1V以上,具体视datasheet参数而定。

现在许多线性稳压都使用MOS管代替三极管,因为MOS内阻更小(作者使用过的MOS内阻最小能达到20毫欧左右),功耗更低(三极管是电流控制的电流源,控制端基极有电流有功耗;MOS是电压控制的电流源,控制端栅极功耗近似为零),且无压降(理想条件下,实际上因为内阻,当电流较大时还是能检测到压降的)。

⑤.典型案例

原文出处

主要参数

在为实际应用电路设计电源的时候,首先要确定符合要求的电源参数,下面将一一说明线性稳压选型的时候一般需要注意的问题,这里以TI公司的LM1117(datasheet)为例说明(作者个人比较喜欢TI公司的datasheet风格,一般第一页是产品主要特征,可以初步判断是否符合应用需求,然后是目录,每个章节都非常清晰)。

输出电压

许多线性稳压都会有固定输出版本和电压可调版本,少数为可编程输出。对于固定电压版本,输出电压即为固定输出电压,当然实际不是绝对精准的输出电压,而是一个电压区间范围,其主要影响电源输出精度。

上图即为LM1117不同电压版本的输出范围,可以看到左边给出了输出电压和测试条件,右边是在测试条件下的输出电压范围。

一般来说,工作电流越大,线性稳压偏离额定工作电压越多,这是因为虽然线性稳压是工作在三极管线性区,但这里“线性”只是近似线性,并且由于内阻,电流越大损耗在线性稳压上的能量也会越多,虽然理想条件下根据输出电压的反馈仍然能稳定到额定电压,但由于电流增带会导致芯片温度上身,使得参考电压会有细微变化,也就是我们所说的“温漂”。另外,如果是类似稳压管提供的参考电压,其实际也不是理想的稳压管,实际稳定电压收到工作电流(输入电压和设定工作电流的电阻)影响。这些都是导致线性稳压输出与额定电压有偏差的原因,实际应用中其实无需自己考虑这些问题(如果有大佬自己用三极管搭稳压电路就当作者没说(lll¬ω¬)),因为可以直接读datasheet选择输出精度符合要求的稳压芯片。
当然除此之外datasheet中也会提供由于温度、负载变换引起的输出电压变化,一般以百分比表示,多数芯片的供电电压都是允许在一定范围内波动的,但在某些情况下也需要特别关注这些参数,如

1.用电芯片对电源波动敏感,欠压会导致芯片保护性复位;
2.用作参考电压,主要是用作ADC参考电压时,其波动影响ADC测量精度。
以12位精度的ADC为例,假设以3.30000V供电,测量的外部信号为1.20000V,此时ADC读数应为1.20000V/3.30000V×4096=1489(ADC输出为整型,实际也可能是1490),使用者换算得到的外部信号电压为1489/4096×3.30000V=1.19963V(或1.20044V),误差为0.0308%(或0.0367%);如果供电电压波动变为3.20000V,此时外部信号仍为1.20000V,那么ADC读书将变为1.20000V/3.20000V×4096=1536,但由于使用者仍认为供电是3.30000V,此时计算得到的外部信号电压为1536/4096×3.30000V=1.23750V,误差为3.1250%。可以看到ADC测量的误差被放大了很多倍,当然消除误差的方法不一定是要提高电源精度,如STM32F407内部集成了一1.2V的精准参考电压,可以通过不断测量该参考电压来校准误差,具体方法参照
stm32 精确电压测量法(内部参考电压)

而对于电压可调版本也能在datasheet中找到允许的输出范围,需注意的是不同输出电压下允许的最大负载电流可能是不同的,其受到最大输出功率限制。

输入电压

输入电压参照datasheet即可,但作者在看LM1117的datasheet的时候发现一个有意思的事情,作者发现有两处都规定了输入电压范围。

仔细看发现20V规定的是Absolute,即绝对不允许超过的电压,15V规定的是Recommended,即建议最大输入电压,作者也不是很清楚这样规定的意义是什么,可能是相同输出电压下输入电压越高效率越低发热越严重,可能会降低芯片性能和可靠性吧,建议让芯片工作在建议工作参数下,即输入电压控制在15V以下。

热阻


该参数也是很多设计者容易忽略的,毕竟一般如果选择使用线性稳压供电负载电流都不会很大,线性稳压不会严重发热,但现在随着工艺发展,线性稳压的输出能力越来越强,而且封装越来越小,换句话说就是热功率越来越高但散热却变差了(这不是技术退步,输出能力增强是因为半导体管承受大电流的能力变强了,封装变小是集成度变高且芯片制成更小了,可以使设计的PCB体积更小),因此如果不想设计的PCB上某个地方特别烫手的话还是需要关注一下该参数。

上表中标注了不同条件下的发热信息,我们一般需重点关注的是芯片对PCB板散热时候的发热情况,因为空气导热性较差,而PCB上的铜具有良好的导热性,因此大部分热量靠PCB散热。如图红线标注,我们常用的SOT-223封装的LM1117对PCB散热为10.4℃/W,即其输出功率为1W时,芯片温度上升10.4℃,须注意的是,该参数一般指芯片附近有大面积铺铜散热时的散热情况。

上图为同一种LDO,不同封装的测试,所以选封装也很重要。
注意结温不是工作温度!!
拓展知识:高温环境下,体温会升高吗?
一般人类有比较完善的体温调节系统,在一定范围内可以调节自身体温而保持37℃左右的恒温,高温环境下人能够加大散热效率以保持正常的体温,但是超过限度就会造成人体体温调节功能紊乱而引起中暑。

基准电压

该参数一般出现在输出可调版本的datasheet中,前文讲过线性稳压的内部结构和该参数的意义,当然一般电压可调版本也会给出参考设计和输出电压的计算公式。

压降

该参数说明了输入输出电压压降,直白的说就是输入电压要比输出电压高出该压降以上,否则不能稳定输出额定电压,一般实际输出约为输入电压减去该压降。

LDO效率问题

低压差大功率直流电源的效率是每一个设计者首先要考虑的问题,在你用最常用的7805设计一个12V转3.3V的电路时,你是否考虑到你的电源效率只有27.5%,三分之一都不到。

最大电流

该参数说明了最大负载能力,对于电压可调版本一般可通过最大输出功率恒定换算不同电压下的最大输出电流。

输入电容


这大概是最容易被忽略的参数了吧,其实主要是一些“年纪较大”的线性稳压芯片需要关注该问题,还记得作者在之前的文章中提到过1117系列的历史遗留问题,其实就是对输入电容有一定要求(好像是对输入电容寄生电阻还是啥的有要求,作者之前看到过,但找不到对应的数据手册了,这里就不贴图了,ԾㅂԾ,)。现在一般只需要根据datasheet中给的参考添加旁路电容即可。

纹波抑制

该参数其实也一定程度上描述了电源精度,其实际含义是输出纹波对输入纹波的抑制比,抑制比越大,输入纹波对输出纹波的影响越小,输出越稳定。

线性稳压的datasheet中当然还有很多其他的参数,如工作温度范围等,作者平时也不怎么关注,在消费级应用领域一般很少超出工作温度范围(如果超过了,多数情况下是过载来不及散热)。一般datasheet中还会给出一些测试数据,同理常规设计一般都能符合需求,但在进行特殊设计的时候就需要针对性的查看对应参数是否符合要求,这里不再一一列举。

选型实例

假设现在需要设计这样一个电源,参数要求如下

电源参数
输入电压:7.2V,由于电源输出能力限制,在负载突变时可能在短时间内降到5V左右
输出电压:3.3V,用电单片机需要稳定供电,电压低于3.2V时会保护性复位
输出电流:300mA以上
纹波抑制比:由于需要做单片机ADC的参考电压,需要电源足够稳定
该案例是作者根据做NXP智能车竞赛的经历编写的,例如上面描述的负载突变,实际情况是由于使用的镍氢电池输出能力有限,电机启动瞬间电流达到4A左右(做的信标组,有四个电机,当时还买到了“国产假货”电机驱动芯片,启动电流特别大(lll¬ω¬))。单片机因为比赛限定使用infineon的Tricore系列,反正各种坑。

这里作者使用的是TI的选型工具(作者个人感觉现在工艺发展已经渐渐走入了瓶颈期,各大电商已经开始渐渐从拼性能转向拼服务、拼生态了,TI的官网现在就是个各种辅助设计工具和软件的大集合(_)。18年19年PCB厂商嘉立创和捷配“大战”作为吃瓜群众也是看得很爽哇,此前10×10cm的双层板打样一般是30元,当时降到了5元,捷配甚至玩了很久免费打样,现在嘉立创四层板也降价了,20年作者好像还看到了捷配“骂战”嘉立创“破坏行业环境”。氦!作为个人用户作者享受福利就行了(_))。

第一步:打开TI官网,找到线性稳压选型工具

TI官网

进入TI官网,在产品一栏中找到电源管理。

在左侧产品结构树中找到线性稳压器(LDO)(其实准确的说LDO即low dropout regulator翻译过来应该是低压差线性稳压)。

在产品系列中选择合适的输入电压范围,也可以直接查找所有线性稳压器。

第二步:输入选型参数,搜索可能合适的芯片
这里根据需要输入参数如下

搜索发现仅剩下一种可选芯片,这显然不符合常理,从左侧过滤器可以看到,输出电流被限制在了0.3-0.45A,而我们实际上只需要限定最小0.3A即可,这也算是TI搜索器一个小问题吧,我们一般需要把条件设定的宽泛一点,否则会将一些可用的芯片过滤掉。

重新设置参数后搜索,这里对个人用户或者手工焊制的打样板可以在过滤器中将BGA、QFN、SON等不太好焊的封装类型过滤掉。

同时由于输入电压可能降到5V,为防止单片机欠压保护需要线性稳压压降足够小,设定压降400mV以内。

此时右侧已经显示了可用的32种芯片(当然都是TI自家的),此时需要一篇篇读datasheet看具体是否符合要求,当然也有个偷懒的方法就是上某宝搜一下,有些芯片没有“国产”版本会比较贵,还有有些芯片销量为“零”一般也不建议使用。

另外需要注意的一点是,如本例中工作电流约300mA,此时热功率已经比较高了,而不同封装的散热能力是不同的,一般小封装在该工作条件下会十分烫手,作者在实际应用中使用了散热片辅助散热,而大封装相对散热会好一点。

⑥.LDO,QLDO,VLDO设计原理

资料下载路径

⑦.拓展问题

1、两个LDO并联问题

原文出处
实际的工程会碰见一种情况,现有的库里边没有合适的大功率LDO,或者用一颗LDO来承担大的负载电流的话则散热成为了问题;

那可以不可以用两个LDO并联来分摊大的负载电流呢?

答案是肯定的,但这需要一点小小的tricks。
为什么LDO简单并联不可行
首先,为什么不可以如下图所示,直接将两个LDO并联?

虽然将两个LDO的FB脚连接到了同一个分压网络,但内部的带隙基准源(参考电压),FET的特性,以及误差放大器的噪声不同(如失调电压),实际LDO输出的目标电压依旧是有差异的。

这就导致了,LDO-High的目标输出电压高一些(5V),相反LDO-Low的目标输出电压低一些(4.9V),这时输出电压最终会被拉高到LDO-High的输出电压5V,因为假如输出在4.9V,这时LDO-High内部的反馈控制网络会继续降低FET的内阻,从而拉高输出电压。

在5V的输出电压下,LDO-Low的反馈网络检测到输出电压超过目标值,即FB的电压超过了内部的基准源,那么LDO-Low会增加内部FET的电阻,试图去降低输出电压,然而无论LDO-Low如何增加内阻,输出电压都会被LDO-High锁定在5V。最终在反馈控制网络的作用下,LDO-Low内部的FET几乎被关闭,故所有负载电流全部由LDO-High来承担。从而导致LDO并联时负载电流不会自动均摊,而是全部由其中一个LDO来承担,导致LDO过热或者限流。

如何实现LDO并联
为了避免LDO输出电压差异所带来的不良影响,需要添加额外的控制环路来实现两个LDO电流的自动均摊。

如上图所示,需要在Vin端增添两个串联的检流电阻,以及增加一个开环配置的运算放大器,同时各自配置相同的电阻分压网络,其中运放的输出经电阻连接到从LDO的FB(这也是为什么要用两个分压网络的原因),下方的LDO则作为主LDO。

这个增加的运放相当于为整个系统添加了一个电流控制环,具体的实现过程如下:

当主LDO的输出电流比较大时,运放的同相端(+)的电位比较低,故运放输出电压降低,导致从LDO的FB的电压降低,故从LDO会降低内部FET的内阻,从而增加输出电流,直到二者的输出电流一致

反过来,当从LDO的输出电流比较大时,运放的反相端(-)的电位比较低,故运放输出电压增加,导致从LDO的FB的电压被拉高,故从LDO会增加内部FET的内阻,从而降低输出电流,直到二者的输出电流一致;

上述这种方法有时也可以用来分摊单个LDO的散热压力,若单个LDO的散热成为了系统的瓶颈,则可以采用上述的并联方法来分摊散热的压力,当然这是以牺牲BOM的Cost来获取的;

可以看到,虽然运放没有配置反馈电阻,但实际上运放通过LDO形成了闭合的反馈回路,所以运放会工作在线性区,稳态下运放会输出一个固定电压,输出不会在GND和VCC之间来回摆动。

器件的选择
首先这个运放要选择低失调电压和低失调电流的,若失调电压不足够低,则这部分电压会造成二者输出电流的不一致,比如说失调电压和失调电流造成的压差为1mV,在10mΩ的采样电阻下,则会造成0.1A的电流差异,也就是电流分配不平均

其次是运放输出电阻的选择:

若取值太大,则电流环的控制作用微乎其微,可以想象在大输出电阻的情况下,即使运放输出满幅值的电压,FB的电压变化也微乎其微,也就是说这个控制环无法补偿两个LDO的输出电压的差异;

若取值太小,则电流环的控制作用会成为从LDO的主要控制,可能造成从LDO的不稳定。
实验验证

1.左侧结构由于参考电压的存在,输出不能低于参考电压,要不然内部无法作比较,
右侧不需要反馈电阻和参考电压 输出可以为0V。
2.在一些特殊情况下,需要大电流LDO,需要并联LDO
左侧 由于反馈电阻精度问题,并联的两个LDO输出电压不同,电流不平衡,可能会烧。
右侧只需要 共用同一个电阻即可,并联的两个LDO输出电压基本相同。


结论:
1.对于内部电压反馈型LDO(如ASM1117),并联时由于 内部反馈电阻的误差,两个芯片输出的电压一高一低
电压高的,电流输出能力强,温度高。
2.在输出电流需求提高的情况下,输出电压较低的而另一个ASM1117芯片才会开始提供电流。ASM1117这种结构的LDO 并联输出不平均,可能 烧坏芯片。

2、LDO的基础特性——热关断

LDO的基础特性——热关断

3、比较两种模拟电子芯片散热的方法

原文出处
在设计散热器时,准确测量其散热能力具有重要的意义。我们可以通过模拟散热系统中的传热现象,计算出电子元件的温度。不同的模拟方法会影响结果的准确性和仿真的效率。在本篇博客文章中,我们将比较两种模拟分析电子芯片散热的方法,并在此过程中介绍 COMSOL Multiphysics® 软件的新特征。借助 COMSOL 软件的新特征,创建散热器几何将变得更加简单。

改良电子设备散热器的设计
在“COMSOL 博客”中,散热器在电子设备中的散热是一个热门话题。如今,电子设备的功能越来越强大,产生的热量也越来越多。热量会削弱这些设备的性能,甚至减少使用寿命。随着科技进步,散热器的重要性也日益凸显。

主板上的散热器。图像由 Adikos 拍摄,已获 CC BY2.0 许可,通过 Flickr Creative Commons 共享。

我们使用了 COMSOL Multiphysics 的传热建模功能对散热器的散热能力进行了分析。得益于软件出色的灵活性,我们可采用多种分析模拟方法。在下文中,我们将以电子芯片散热为简单示例,探讨其中两种方法。

使用 COMSOL Multiphysics® 模拟电子芯片散热
在演示示例中,我们将模拟一块带散热器的电子芯片,散热器利用周围的流体(空气)实现冷却。当创建模型时,我们使用“传热模块”的“零件库”提供的散热器几何零件,“传热模块”是 COMSOL Multiphysics 的一个专业模块。5.3 版本发布了一系列零件,简化了散热器的几何建模流程。

在本例中,模型包含一块电子芯片和铝质散热器。散热器安装在一个横截面为矩形的通道内。电子元件消散了相当于 5W 的热量,而热量分布在整块芯片体积中。

散热器(灰色)和电子芯片(紫色)的模型几何。

空气从入口(温度恒定不变)流入,穿过通道,最后从出口流出。因此,对流是热能传递的主要形式。在冷却空气中,热能以传导和对流的组合方式进行传递,而在电子元件和散热器中,热能只能以传导的方式传递。

在处理热薄层时,我们可以利用模型计算出多个元件之间的热平衡,并找到芯片和散热器之间的热接触影响因素。通过求解模型方程,我们能够估计散热器的散热能力,并预测电子元件的温度。在下文中,我们将探究两种求解此类模型的方法,先从计算效率更高的方法开始。

方法一:固体零件和对流散热边界条件
针对第一种方法,我们只模拟了固体零件,并且在散热器的边界上应用了对流散热边界条件,而未计算风道内的流速、压力和温度。这样能够快速计算出结果。不过,计算的精确度取决于用于定义对流散热边界条件的传热系数的可靠性。在本例中,我们采用了经验值 10 W/(m2·K)。

利用此方法,我们为散热器和芯片之间的热接触测试了三种配置:

1.理想接触
2.空气薄层
3.导热硅脂薄层

理想接触(左)、空气层(中)和导热硅脂层(右)配置的温度绘图。

不出所料,理想接触对应的最高温度是三种情况中的最低值(约 84°C)。在模型中的散热器和芯片之间加入空气层后,最高温度升高至近 95°C,致使散热系统的性能下降。

我们可以将空气层替换成导热硅脂层,借此降低热阻的影响。导热硅脂层改善了散热器底部和电子芯片顶部之间的热接触状况。仿真结果预测了在使用导热硅脂的情况下,最高温度接近理想热接触的最高温度。根据这一点,我们可以推断导热硅脂有利于改进散热器设计。

方法二:加入空气域
第二种方法是在模型中添加一个表示风道的域。这种方法会大幅增加计算成本。在假定风道内是非等温流动的前提下,我们可以利用此域计算出空气的温度和流场。第二种方法比第一个更加通用,这对于用户十分有利,这是因为传热系数往往是未知条件。利用这种方式,我们不需要计算传热系数的近似值,也能精确地模拟气流散热。

第二种方法的结果显示了电子元件的最高温度约为 95°C。此外,散热器后出现了一条热尾迹,表现了它的对流散热效果。第二种建模方法也更加精准,例如我们可以绘制并预测面向和背向气流的翅片表面的温差。

散热器表面和风道壁上的温度场(上)。翅片上温差的可视化绘图(下)。

我们可以通过修改模型来加强这一方法,以便分析较大的(接近于 1)表面辐射率。在处理如此大的辐射率时,我们应当考虑到表面对表面辐射,将其引入仿真。这一做法使最高温度降低至约 81°C,如下图所示。仿真结果再次证实了当表面辐射率很大时,应该考虑辐射传热。

在散热器模型中引入了面-面辐射的温度场仿真结果。
引入了面-面辐射的温度场。

正如上文所述,为了优化电子设备,分析电子散热是散热器设计流程中的重要一环,COMSOL Multiphysics 提供的内置工具让采用不同的方法来分析电子散热成为了可能。每种建模方法拥有各自的优点,您可以根据自身需求,选择计算效率更高或者精度更高的方法。

3.直流开关电源(DC/DC)

①.boost/buck电源工作原理

原文出处

对于DCDC,大家都不陌生,因为就是开关电源,当然还有AC/DC,通常的AC/DC,都是110V或者220V交流变换为直流电源,我们这里先来讨论DCDC电源设计。

DCDC电源类型分为2种,一种是隔离性,一种是非隔离型。隔离型DCDC 的意思是输出的GND和输入的GND是无关系的,也成为悬浮电源。常见的DC-DC芯片大都是非隔离型的。隔离性的电源,是双向,也叫做升压降压类型,非隔离型的,分为boost 和buck两种。

首先我们来说下非隔离的DC-DC原理,这类电源又分为boost和buck,即为升压和降压模式。首先分析下DCDC降压电路:

Buck 模式DCDC 结构主要由输入电容、功率MOS管、PWM模块、肖特基二极管、功率电感、输出电容和输出调节电阻构成。DCDC开关电源这种结构模式决定了它输出噪声比较大。

接下来我们分析下工作原理,当功率MOS(以后简称开关),闭合时,电源通过电感给负载供电,并将电能储存在电感L和输出电容中,由于电感L的自感,在开关闭合时,电流增大的比较缓慢,即输出不能立刻达到电源的电压值。一定时间后,开关断开,由于电感L的自感作用(可以形象的认为电感中的电流具有惯性作用),将保持电路中的电流不变,即从左到右继续流。电流流过负载,从地返回,流到肖特基二极管的正极,经过二极管返回电感L的左端,从而形成一个回路。通过控制PWM的占空比就可以控制输出的电压。

在开关闭合期间,电感储存能量,在断开期间释放能量,所以电感L叫做储能电感,二极管在开关断开期间负责给L提供电流通路,所以二极管叫做续流二极管。当开关闭合时,电压很小,所以发热功率U*I就会很小,这就是开关电源高效率的原因。

通过这里原理,我们就知道了为什么在DCDC设计的时候,输出一定要有大电容,二极管和电感为什么一定要靠近IC,而且DCDC的后级滤波一定要好。接下来讲解下boost型DCDC电路:

其基本模型如上图,经过我们对buck 电路的原理分析,对于BOOST 应该很清楚了,同样调整PWM的占空比,可以调节输出,当PWM占空比为50%的时候,输出电压为输入电压的2倍,基本原理如下:

开关导通时, 输入电压流向电感, 电感电流线性增加,电感储能增加,电源向电感转移电能。

开关断开时, 电感电压等于输入电压减去输出电容的电压, 电感电流减少,电感储能减少, 电感储能向负载转移电能。

通过这样不断的开关实现了DCDC升压,但是这种结构得到的电流比较小,通常在几百毫安,而且效率不高。


开关电源是通过功率管打开时给电感充电,电感储能;功率管断开时,电感释放能量,从而实现电压变换。

在功率管断开时,电感释放能量需要电流回路,续流元器件的选用不同,就会涉及到不同的整流方式,即同步整流和非同步整流。

那么同步整流和非同步整流到底有什么差别呢?

②.同步整流与异步整流

原文出处
原文出处
DC/DC转换器的非绝缘型降压开关稳压器有异步整流(二极管)式和同步整流式两种。

1.异步整流式是较早使用的方式,就开关稳压器而言电路简单但效率却超过80%左右。

以BUCK电路为例,若电路中只有一个MOS管(功率管),而在续流回路中采用的是整流二极管(二极管具有单向导电性,不需要外加电路控制其通断),则该电路就是非同步的,因为它只有一个 mos管(或者说开关管)需要用电路控制,续流二极管不需要控制电路,也就不用去强调同步控制二极管(D1),即可以理解为非同步,非同步电路如图

异步整流式于下侧开关使用二极管,异步整流式通过上侧晶体管的ON/OFF使电流流向或不流向二极管。

2.高效率的同步整流式开关稳压器用IC被陆续开发,控制或电路极为复杂的同步整流式变得容易设计,逐渐成为主流。同步整流式最大可以获得近95%的效率。

若在电路中续流回路中使用的是MOS管(S2),即上下管都是MOS管,因为MOS管本身是需要外控制的元器件,整流过程中必须根据电源的开关时序同步控制S1与S2,所以该电路为同步,同步电路如图

同步是采用通态电阻极低的功率 MOS管,来取代整流二极管以降低整流损耗的一项新技术;它能大大提高 DC/DC 变换器的效率。

功率 MOS管属于电压控制型器件,且它在导通时的伏安特性呈线性关系。用功率 MOS管做整流器时,要求栅极电压必须与被整流电压的相位保持同步才能完成整流功能,故称之为同步整流。

1)同步整流与异步整流区别在于异步整流式于下侧开关使用二极管,而同步整流式则与S1同样为晶体管。同步整流式虽然基本工作与异步整流相同,但是下侧开关的ON/OFF也由控制电路进行。如果双方同时为ON,则电流将从VIN直接流向GND,故双方必须制造OFF时间,所谓停滞时间的时序等进行复杂的控制。同步整流式的效率之所以比异步整流式好,是因为下侧开关使用晶体管(尤其是MOSFET)。

2)另一个大区别在于轻负载时的工作状态。上图中橘色和绿色的箭头分别表示轻负载时异步整流式(橘)和同步整流式(绿)的电感电流。电感电流如上图所示,通过开关变成三角波。当负载电流变得非常少时,电感电流会下降至零交叉级。在此状态下,异步式为二极管只能朝一方向流动电流,因此没有如橘色波形般进入负领域的波形电流,电流波形呈具有零周期之间断状态,此称为不连续模式。同步式由于晶体管,故可逆流,使负领域电流持续,此称为连续模式。



同步与非同步的选择


总结来说,同步整流型和异步整流型都很具有代表性,应用广泛。整体而言,电源设计工程师须先行探讨电路的复杂性、成本、效率、振铃导致的高谐波噪声,然后根据自己的需求进行权衡,来判断哪一方式最适合选择。

③、开关电源中,为什么不是频率越高越好?

开关电源中,为什么不是频率越高越好?

④、DCDC设计指南

DCDC设计指南 1
DCDC设计指南 2

⑤、Buck降压电路设计课程

介绍Buck降压电路的基本原理,工作过程,波形分析;并对电感,电容等器件选型,取值等内容一揽子讲解。

4、交流开关电源(AC/DC)

①、开关电源一定要用变压器吗?

开关电源一定要用变压器吗?

②、AC/DC开关电源常用主电路结构

单端反激式开关电源

1、反激式开关电源理论与原理解析
2、开关电源正激”与“反激”的区别,反激给电源补充能量反馈回去

单端正激式开关电源

半桥式开关电源

全桥式开关电源

推挽式开关电源

③、开关电源的控制方式

电压型PWM控制

电流型PWM控制

MCU、ASIC或DSP控制

第一讲,ACDC开关电源设计

④、详解开关电源中各个电阻计算

详解开关电源中各个电阻计算

5.数字电源VDD/GND和模拟电源VDDA/GNDA

原文出处
很多的MCU提供数字电源VDD/GND和模拟电源VDDA/GNDA。通常建议是采用两路不同的3.3V电源供电。但为了节省成本,也可以采用单路3.3V电源,但VDDA/GNDA要通过电感从VDD/GND分离出来。一般GNDA和GND最终还是要连接在一起的,建议用一个绕线电感连接并且接点尽可能靠近芯片(电感最好放置在PCB背面)。

6.DC24V转12V有哪些芯片可以实现?

DC24V转12V有哪些芯片可以实现?可以用这几个芯片,便宜好用!

7、板级电源设计的三种方法

8、横流源

①、为什么用恒流电源驱动LED

三、电池

1.锂离子电池特性及充电电路设计

①.锂电池种类

②.锂电池放电曲线及与温度关系

③.锂电池充电方法

④.为什么锂电池充电需要专用的芯片?

⑤.锂电池使用误区

⑥.常见的充电方案

锂电池充放电管理芯片,和输出芯片

线性充电

开关式充电

⑦.NTC–温度保护

⑧.充电电流与温度

9.USB充电系统关键考虑

兼容USB2.0和USB3.0
安全要求
高效
系统瞬时开机
长运行时间

10.充电路径管理

11.(DPM)动态功率管理/输入限流路径管理

12.理想二极管帮助提高电池利用率

13.输入过冲抑制(ADI文档AN-88)

缓启动电路

四、电机

1、BLDC电机

①、详解三相直流无刷电机驱动器硬件原理图

详解三相直流无刷电机驱动器硬件原理图

②、BLDC电机驱动策略

BLDC电机驱动策略

五、传感器

1、红外/光电对管


常用组合,例如:

  1. 3mm圆头插件“红外发射管”IR204C-A常与“光敏二极管”PD204-6B匹配成红外光电对管,常用于“投币器”

  2. 5mm圆头插件“红外发射管”IR333C-A常与“光敏二极管”PD333-3B匹配成红外光电对管,常用于“光电式烟感”

  3. 3mm圆头插件“红外发射管”IR204C-A常与“光敏三极管”PT204-6B匹配成红外光电对管,常用于“投币器”

  4. 5mm圆头插件“红外发射管”IR333C-A常与“光敏三极管”PT334-6B匹配成红外光电对管,常用于“光电式烟感”

  5. 发光素子/红外发射管IR908-7C常与受光素子/接收管PT908-7C组合为红外光电对管,常用于“槽型光电开关”/“槽型光耦”

  6. 发光素子/红外发射管IR928-6C常与受光素子/接收管PT928-6C组合为红外光电对管,常用于“槽型光电开关”/“槽型光耦”

  7. 发光素子/红外发射管IR968-8C常与受光素子/接收管PT968-8C组合为红外光电对管,常用于“槽型光电开关”/“槽型光耦”

  8. 发射管IR26-21C/TR8和接收管PT26-21B/TR8常组合成对射式对管或反射式对管设计使用,用于贴片设计

  9. 发射管IR91-21C和接收管PT91-21B常组合成对射式对管或反射式对管设计使用,用于贴片设计

  10. 发射管IR26-21C/TR8和接收管PT26-21B/TR8常组合成对射式对管或反射式对管设计使用,用于贴片设计

  11. 发射管IR26-51C/TR8和接收管PT26-51B/TR8常组合成对射式对管或反射式对管设计使用,用于贴片设计

2、温度传感器

温湿度传感器官网
采用RTD的高EMC性能精密温度测量解决方案



3、激光二极管

自动功率控制电路

六、通讯端口

1、USB2.0通信原理及电路设计

USB2.0通信原理及电路设计
硬件设计:接口–USB2.0电路设计

2、RS232 保护方案

RS232 保护方案

七、其他外设

1、蜂鸣器驱动电路

电子电路学习笔记(17)——蜂鸣器

2、触摸按键

触摸控制是怎么实现的?电容式触摸感应原理详解一看就懂
电容式触摸IC
触摸IC芯片方案
ITO电容式开关导电膜防静电防紫外线触摸开关可定制轻触开关

后记

1、电路设计一定要考虑的异常情况:电流倒灌、热插拔、过流保护等

电路设计一定要考虑的异常情况:电流倒灌、热插拔、过流保护等

2、地的标志符号

地线的作用是什么以及地线的标志符号


1、信号地 SGND
2、模拟地 AGND
3、数字地 DGND
4、功率地 PGND
5、直流地 GND
6、交流地 CGND
7、大地 EGND
8、浮地 FGND

3、如何选择一款更合适的功率电阻?

如何选择一款更合适的功率电阻?
如何选择功率电阻? 2W的金属膜0.5W就烫手了!
选择功率电阻一定要注意,这个电阻选不好,就可以烤肉了

4、各类元器件降额使用经验

  1. 电阻的功率降额系数在0.1~0.5之间。
    
  2.   二极管的功率降额系数在0.4以下,反向耐压在0.5以下。
    
  3.   发光二极管的电压降额系数在0.6以下,功率降额系数在0.6以下。
    
  4.   功率开关管降额系数在0.6以下,电流降额系数在0.5以下。
    
  5.   普通铝电解电容和无极性电容的电压降额系数在0.3~0.7之间。
    
  6.   电感和变压器的电流降额系数在0.6以下。
    

尽量多留余地

硬件工程师成长之路(2)——电路设计相关推荐

  1. 硬件工程师成长之路(11)——职业规划

    系列文章目录 1.元件基础 2.电路设计 3.PCB设计 4.元件焊接 5.板子调试 6.程序设计 7.算法学习 8.编写exe 9.检测标准 10.项目举例 11.职业规划 文章目录 前言 一.求学 ...

  2. 硬件工程师成长之路(6)——程序设计

    系列文章目录 1.元件基础 2.电路设计 3.PCB设计 4.元件焊接 5.板子调试 6.程序设计 7.算法学习 8.编写exe 9.检测标准 10.项目举例 11.职业规划 文章目录 前言 如果发现 ...

  3. 硬件工程师成长之路(0)----认识元件

    系列文章目录 1.元件基础 2.电路设计 3.PCB设计 4.元件焊接 5.板子调试 6.程序设计 7.算法学习 8.编写exe 9.检测标准 10.项目举例 11.职业规划 文章目录 前言 1.电阻 ...

  4. 硬件工程师成长之路(3)——PCB设计

    系列文章目录 1.元件基础 2.电路设计 3.PCB设计 4.元件焊接 5.板子调试 6.程序设计 7.算法学习 8.编写exe 9.检测标准 10.项目举例 11.职业规划 文章目录 前言 一.PC ...

  5. 硬件工程师成长之路(5)——板子调试

    系列文章目录 1.元件基础 2.电路设计 3.PCB设计 4.元件焊接 5.板子调试 6.程序设计 7.算法学习 8.编写exe 9.检测标准 10.项目举例 11.职业规划 文章目录 前言 Easy ...

  6. 硬件工程师成长之路(9)——检测标准

    系列文章目录 1.元件基础 2.电路设计 3.PCB设计 4.元件焊接 5.板子调试 6.程序设计 7.算法学习 8.编写exe 9.检测标准 10.项目举例 11.职业规划 文章目录 前言 前言 一 ...

  7. 硬件工程师成长之路(7)——算法学习

    系列文章目录 1.元件基础 2.电路设计 3.PCB设计 4.元件焊接 5.板子调试 6.程序设计 7.算法学习 8.编写exe 9.检测标准 10.项目举例 11.职业规划 文章目录 前言 算法大全 ...

  8. 网络工程师----成长之路

    网络工程师----成长之路 常用程序快捷键命令(网络工程师) 常用CMD命令(网络工程师) 常用Linux命令(网络工程师) 问题点宝库 第一章:一.網絡工程師–简介 第二章:二.网络工程师学习阶段– ...

  9. 精品课python_python工程师成长之路精品课程(全套)

    python工程师成长之路精品课程(全套)  有需要联系我:QQ:1844912514 什么是Python? Python是一门面向对象的编程语言,它相对于其他语言,更加易学.易读,非常适合快速开发. ...

  10. 芯片工程师成长之路_一个FPGA工程师的成长之路

    最近逻辑组任务较多,人力不足,因此招了一些新员工.最近一段时间,也面试了很多人,各个行业和公司的都有,形形色色的人面试多了,也有一些感触,另外,年近而立,也需要总结一下.在此记录下来,与君共勉. 关于 ...

最新文章

  1. 字节跳动内部 MySQL 学习笔记火了,完整版开放下载!
  2. Android饼图Pie Chart
  3. 共享内存简介和mmap 函数
  4. improvement不可数
  5. 如何理解 Objective-C Delegate
  6. mysql中清空数据库,并重置主键为1
  7. ObjC学习4-多态、动态类型、动态绑定及异常处理
  8. Scala可变参数列表
  9. WCF透明代理类,动态调用,支持async/await
  10. Bochs使用简单教程
  11. matlab数值计算习题解析,第3章MATLAB数值计算-习题
  12. lucene-使用htmlparser解析未设定编码页面
  13. 论文翻译(二) CRNN 基于图像序列的识别的识别的端到端可训练神经网络及其在场景文字识别的应用
  14. 黑盒测试和白盒测试的基本原理/区别是什么?
  15. dlopen failed: empty/missing DT_HASH in quot;libx.soquot; (built with --hash-style=gnu?)
  16. Excel技巧:合并单元格后分组排序
  17. 让360浏览器强制优先使用极速模式
  18. ArcGIS Pro发布WebScene
  19. 期货板幅什么意思(期货涨停板什么意思)
  20. linux上java项目链接不上mysql,本地测试无问题

热门文章

  1. Leetcode(347)——前 K 个高频元素
  2. DELL服务器 RAID 配置详解
  3. dataFrame(DF)将数据插入ES时报错 org.elasticsearch.hadoop.EsHadoopIllegalArgumentException: Cannot detect E
  4. JAVA毕设项目基于vue框架的疫情防控知识在线答题系统设计与实现(java+VUE+Mybatis+Maven+Mysql)
  5. cad计算机绘图知识点,CAD工程制图的基本知识要点.ppt
  6. 惠州学院计算机学院陈东骏,教学情况简报第107期
  7. html插入图片后在网页显示不出来
  8. docker nginx容器代理bug:upstream server temporarily disabled
  9. 奇幻RPG(人物构建 与 Abstract Factory模式)
  10. 卸载 mysql 2008_强力卸载SQL Server 2008图文详解