文章目录

  • 一、 实验背景
  • 二、实验过程
  • 总结

一、 实验背景

通过1位全加器的详细设计,掌握原理图输入以及Verilog的两种设计方法

二、实验过程

实验软件:quartusII 13.0 modelslimse10.2

实验硬件:FPGA开发板Intel DE2-115
实验步骤:
1.打开quartusll13.0的软件,新建一个工程

2.选择合适的开发板类型

3.新建原理图文件:
打开QuartusII,选菜单“File”一“New”,在弹出的“New-”对话框中选择“ Design Files” 的原理图文件编辑输入项,“Block block diagram/schematic File"按"OK"后将打开原理图编辑窗

4.在编辑窗中调入元件,完成半加器的原理图输入。
点击按纽“ ”或直接双击原理图空白处,从“ Symbol”窗中选择
需要的符号,或者直接在“name”文本框中键入元件名,如“and2”为2输
入与门,点OK按钮,即将元件调入原理图编辑窗中。例如为了设计半加器,
分别调入元件and2,xnor和输入输出引脚input和output。并如图用点
击拖动的方法连接好电路。然后分别在input和output的PIN NAME上双击使
其变黑色,再用键盘分别输入各引脚名:a、b, c os
如图:

5.存盘编译:
选择菜单File - Save As,选择刚才为自己的工程建
立的目录d:\adder4,将已设计好的原理图文件取名为:half_adder.bdf(注
意默认的后缀是.bdf),并存盘在此文件夹内。然后点击 进行编译,若无
错误则可进行下一步,若有错进行原理图修改。编译完成后最下面的“message”框中
信息如

6.设计项目设置成可调用的元件

7.半加器仿真
新建波形文件。如上面新建图形文件的方法,从“file”中选择
“new”,然后从出现的对话框中选择“university program VWF”。
点击“OK”

输入波形文件。在波形文件编辑器左端大片空白处双击,出现“insert node
or bus”对话框,点击“node finder”按钮。如图:

然后在随后出现的“node finder”对话框中点击“list”按钮,则半加器中所有的输入输出引脚全部出现在对话框左边。

再在该界面上点击“>>”,则把左边所有的端口都选择到右边,进入波形。如图。点击两次“OK”后,出现如图的波形文件:

设置输入波形取值。方法可以是选中某段需要设置数值“1”的波
形,然后在工具栏上点击按钮 ,即可。反之设置“0”,或其余数值同法可行。
仿真。先保存文件为“half_adder.vwf”,点击工具栏上功能仿
真按钮 ,完成后会自动跳出仿真后的文件。如图。从该图中可以分析半加器的逻
辑关系是否正确。至此完成半加器的设计

8.设计全加器顶层文件

9.引脚绑定及硬件下载测试

总结

实验为完成,过几天完成补充。

1位全加器设计—— 原理图与VHDL设计初步相关推荐

  1. 原理图以及vhdl设计一位全加器

    原理图设计以及VHDL设计 一位加法器 全加器原理 全加器真值 输出表达式 原理图设计法 VHDL设计法 代码如下: 全加器是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器.一位全加器 ...

  2. 用行为级描述方式实现一个加法器电路(基于ISE的设计)(2输入1位全加器电路)

    准备 先用行为级描述方式实现一个2输入一位全加器电路 由于后面需要使用综合工具进行综合,这里先声明使用的FPGA是Virtex-7系列的: 目的很单纯,就是熟悉一下使用ISE进行FPGA设计的一般流程 ...

  3. CS5263设计原理图|CS5263设计DP转HDMI电路参考|CS5263中文说明

    CS5263设计原理图|Capstone CS5263设计DP转HDMI2.0电路参考 CS5263是一款DP1.4到HDMI2.0b转换器芯片,设计用于将DP1.4源连接到HDMI2.0b接收器. ...

  4. 一位全加器及四位全加器————FPGA

    文章目录 前言 一.一位全加器 1.一位全加器的原理图设计 2.一位全加器的Verilog编程 3.上板效果 二.四位全加器 1.四位全加器的原理图设计 2.四位全加器的Verilog 编程 三.总结 ...

  5. 一位全加器 VHDL设计与实现

    作者:chenjieb520 一.设计目的 熟悉Quartus II的VHDL文本设计流程全过程,学习组合电路的设计,仿真和测试. 二.设计内容 设计一位全加器,给出程序的设计.软件编译.仿真分析.硬 ...

  6. 一位全加器的设计与实践

    认识全加器 半加器 半加器是能够对两个一位的二进制数进行相加得到半加和以及半加进位的组合电路 半加器的输出表达式为S=A⊕B,C=AB,逻辑电路图如下 一位全加器 全加器的真值表如下,其中Ain表示被 ...

  7. 一位全加器的设计和交通灯控制器EDA

    一.实验内容 1)熟悉quartusll开发环境 2)一位全加器的设计和交通灯控制器. FPGA为核心,设计一个交通灯控制器,每个路口都有红.黄.绿三盏灯.该交通信号灯控制器由一条车道A和一条车道B汇 ...

  8. 在Verilog语言中,使用门级建模设计一个由1位全加器组成的4位全加器

    4位全加器的门级建模 Verilog语言的层级 在Verilog硬件描述语言中,我们可以分许多层次对电路进行描述,每一层都有自己的特点.层次分为开关级.门级.数据流级.行为级.我们不能说必须使用哪一种 ...

  9. FPGA实现1位全加器设计

    文章目录 一.基础知识 1. 半加器 2. 全加器 二.原理图实现1位全加器 三.Verilog实现1位全加器 四.参考

  10. 试用一片3-8译码器74LS138和其它必要的门电路设计一个一位全加器, 被加数为Ai, 加数为Bi, 低位来的进位为Ci-1, 本位和为Si, 本位对高位的进位为Ci

    (1)根据题目的描述, 列出真值表. 一位全加器真值表 Ai Bi Ci-1 Si Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 ...

最新文章

  1. windows系统无法创建文件共享
  2. Centos 6 常用服务部署命令说明
  3. 计算图片的宽和高 动态设置图片的位置
  4. ip camera芯片级解决方案
  5. 大学计算机基础总结,大学计算机基础第二章总结
  6. AD放置过孔按TAB键使过孔大小为设置值0.6/0.3
  7. ms-sql数据转成脚本
  8. 看 设计模式之策略模式探讨初步 有感,并摘取部份内容,学习之
  9. idea 中文字体 自动变_提高工作效率,我推荐讯飞语记,瞬间语音秒变文字
  10. 快解析:用友T+异地访问解决方案
  11. ARM汇编语言指令集汇总
  12. 手持式以太网测试仪RFC2544测试演示
  13. 网易详述8个月全过程:员工申请仲裁要求支付61万赔偿
  14. 医学CT图像三维重建代码
  15. highcharts去水印方法
  16. Webpack打包警告: We noticed you're using the `useBuiltIns` option without declaring a core-js version.
  17. DirectX支配游戏 历代GPU架构全解析
  18. TOC制约理论的本质及其在生产、项…
  19. 考初级计算机证需要考什么,计算机初级证书要考哪些内容
  20. vue项目中,导出下载Excel表格

热门文章

  1. html页面填充颜色,div填充颜色 怎么用css定义部分背景颜色
  2. ElasticSearch 7.15.2 使用java canal 接入实现灵活化增量数据准实时同步
  3. paypal标准支付流程图
  4. mysql 空格键和回车键查询
  5. 爬虫笔记34:mongodb的概述(安装和启动)、基本命令、练习题
  6. 【开源教程5】疯壳·开源编队无人机-飞控固件烧写
  7. python 生成有效的四要素
  8. 选好电线 “家”倍安全
  9. 联筑赚:2021年1月份全国盘扣脚手架PMI为32.0%
  10. ubuntu firefox flash 插件安装